CN1423827A - 用于产生均匀加工速率的方法和装置 - Google Patents

用于产生均匀加工速率的方法和装置 Download PDF

Info

Publication number
CN1423827A
CN1423827A CN00818407A CN00818407A CN1423827A CN 1423827 A CN1423827 A CN 1423827A CN 00818407 A CN00818407 A CN 00818407A CN 00818407 A CN00818407 A CN 00818407A CN 1423827 A CN1423827 A CN 1423827A
Authority
CN
China
Prior art keywords
antenna
circle
plasma
pair
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00818407A
Other languages
English (en)
Other versions
CN1227710C (zh
Inventor
A·D·拜利三世
A·M·舍普
A·库蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1423827A publication Critical patent/CN1423827A/zh
Application granted granted Critical
Publication of CN1227710C publication Critical patent/CN1227710C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

这里公开了一种用等离子体来加工衬底的等离子体加工装置。此装置包括具有第一RF频率的第一RF电源以及加工室。此装置还包括有效地耦合到第一RF电源并且在衬底被置于加工室中以进行加工时设在由衬底所确定的平面上方的基本上圆形的天线。此基本上圆形的天线被构型为利用第一RF电源产生的第一RF能量在加工室内感应电场。此基本上圆形的天线至少包括第一平面内的第一对同心环和第二平面内的第二对同心环。第一对同心环和第二对同心环基本上相同,并彼此对称地定位。基本上圆形的天线在加工室内部形成方位对称的等离子体。此装置还包括设在天线与加工室之间的耦合窗口。耦合窗口被构型为使第一RF能量能够从天线传递到达加工室内部。耦合窗口具有第一层和第二层。第二层被构型为显著地抑制基本上圆形的天线与等离子体之间形成的电容性耦合。基本上圆形的天线和耦合窗口一起作用,以便在衬底表面上产生基本上均匀的加工速率。

Description

用于产生均匀加工速率的方法和装置
相关案例的交叉引用
本申请涉及到下列当前已申请的美国专利申请:
No.09/4 39661,名称为“IMPROVED PLASMA PROCESSING SYSTEMS ANDMETHODS THEREFOR”,(律师案卷号:No.LAM1P122/P0527);
No.09/470236,名称为“PLASMA PROCESSING SYSTEM WITH DYNAMICGAS DISTRIBUTION CONTROL”,(律师案卷好:No.LAM1P123/P0557);
No.09/439675,名称为“TEMPERATURE CONTROL SYSTEM FOR PLASMAPROCESSING APARATUS”,(律师案卷号:No.LAM1P124/P0558);
No.09/440794,名称为“MATERIALS AND GAS CHEMISTRIES FOR PLASMAPROCESSING SYSTEMS”,(律师案卷号:No.LAM1P128/P0561);
No.09/439759,名称为“METHOD AND APPARATUS FOR CONTROLLINGTHE VOLUME OF PLASMA”,(律师案卷号:No.LAM1P129/P0593)。
此处将上述各个专利申请作为引用包括进来。
发明背景
本发明涉及到用来加工诸如用于集成电路(IC)制造的半导体衬底或用于平板显示器的玻璃平板之类的衬底的装置和方法。更确切地说,本发明涉及到改进了的等离子体加工系统,它能够加工衬底,使其表面上具有高等级的加工均匀性。
等离子体加工系统已经存在了一些时日。多年来,采用感应耦合等离子体源、电子回旋共振(ECR)源、电容性源之类的等离子体加工系统,已经被不同程度地引入和应用来加工半导体衬底和玻璃显示屏。
在加工过程中,通常采用多个淀积和/或刻蚀步骤。在淀积过程中,材料被淀积到衬底表面(例如玻璃平板或晶片的表面)上。例如,可以在衬底的表面上制作诸如各种形式的硅、二氧化硅、氮化硅、金属之类的淀积层。反过来,可以用刻蚀方法从衬底表面上的预定区域有选择地清除材料。例如,可以在衬底各层中形成诸如通道、触点或沟槽等的被刻蚀的特征。
等离子体加工的一种具体方法是采用感应源来产生等离子体。图1示出了用于等离子体加工的一种感应等离子体加工反应器100。典型的感应等离子体加工反应器包括具有设在电介质窗口106上方的天线或感应线圈104的加工室102。通常,天线104在工作时被耦合到第一RF电源108。而且,在加工室中提供了气体入口110,用来将气态源材料例如腐蚀剂源气体排放到电介质窗口106与衬底112之间的RF感生的等离子体区域中。衬底112被引入到加工室102中,并被置于通常用作电极并在工作中耦合到第二RF电源116的吸盘114上。
为了产生等离子体,加工气体通过气体入口110输入到加工室102中。然后用第一RF电源108将功率馈送到感应线圈104。馈送的RF能量耦合通过电介质窗口106,从而在加工室102内部感应出强电场。更具体地说,响应于这一电场,在加工室内感应出环流。此电场将存在于加工室内部的少量电子加速,使之与加工气体的气体分子碰撞。这种碰撞导致电离并开始放电或产生等离子体118。如本技术领域众所周知的那样,加工气体的中性气体分子在遭遇这种强电场时失去电子,并形成带正电的离子。结果,带正电的离子、带负电的电子、以及中性气体分子(和/或原子)包含在等离子体118中。一旦自由电子的产生速率超过其失去速率,等离子体就被点燃。
一旦形成了等离子体,等离子体中的中性气体分子就倾向于被导引向衬底表面。举例来说,有助于中性气体分子存在于衬底处的一种机制可以是扩散(亦即,各个分子在加工室内部的随机运动)。于是,沿衬底112的表面通常可以发现一层中性物质(例如中性气体分子)。相应地,当底部电极114被施加功率时,离子倾斜于向着衬底加速,这些离子在该处与中性物质结合而激活腐蚀反应。
上述感应等离子体系统遇到的一个问题是衬底上的腐蚀性能发生变化,例如腐蚀速率不均匀。亦即,衬底的一个区域的腐蚀不同于另一个区域。结果就特别难以控制与集成电路相关的那些参数,即临界尺寸、形状比等。此外,不均匀的腐蚀速率可以在半导体电路中导致器件故障,通常就意味着提高了制造成本。而且,还存在诸如总的腐蚀速率、腐蚀分布、微加载、选择性之类的其它相关问题。
近年来,已经发现这些不均匀的腐蚀速率可能是衬底表面上等离子体密度变化的结果,亦即是等离子体的不同区域具有不同数量的反应物质(例如带正电的离子)的结果。虽然不希望受理论的束缚,但相信等离子体密度的变化是由功率耦合(例如天线、电介质窗口、和/或等离子体)的功率发射特性的不对称性产生的。若功率耦合不对称,则感应电场的圆形电流理应不对称,电离和等离子体的点燃因而不对称。结果,就发生等离子体密度的变化。例如,某些天线装置感应的电流在线圈中心的强,而在线圈外围的弱。相应地,等离子体就倾向于聚集到加工室的中心(如由图1中等离子体118示出的)。
用来克服不对称功率耦合的标准技术是补偿或平衡掉这种不对称。例如,利用一对平面天线来提高弱电流区的电流密度,将辐射状元件接合到螺旋形天线以便在不同半径处形成更多圆环,改变电介质窗口的厚度以便降低强电流区的电流密度。但这些平衡技术倾斜于不提供方位对称的功率耦合。亦即,仍然倾向于具有导致等离子体变化的方位变化,这使得难以获得蚀刻均匀性。
而且,目前使用的大多数天线装置在天线与等离子体之间形成某种电容性耦合。电容性耦合是由天线与等离子体之间的电压降产生的。此电压降通常在耦合窗口处或其附近形成表面电位。此表面电位多半倾向于起到底电极(被加电的)的作用。亦即,等离子体中的离子易于在表层上被加速,因而向带负电的耦合窗口加速。结果,加速离子易于轰击耦合窗口的表面。
这些轰击离子对耦合窗口的作用基本上相同于对衬底的作用,亦即,它们将既腐蚀耦合窗口表面上的材料,又在耦合窗口表面上淀积材料。这可能产生不希望有的和/或无法预料的结果。例如,淀积的材料可能积累在耦合窗口上,并成为有害的颗粒源,特别是当材料剥落到衬底表面上时。从耦合窗口去除材料会有相似的作用。厚度的增加或减小最终会引起工艺变化,例如,功率耦合(例如天线、电介质窗口、等离子体)的功率传输特性的变化,这就导致半导体电路中的器件故障。
考虑到上述情况,希望有改进的方法和装置以便在衬底表面处产生均匀的加工。还希望有改进的方法和装置以便降低天线与等离子体之间的电容性耦合。
发明的概述
在一个实施方案中,本发明涉及到一种用等离子体来加工衬底的等离子体加工装置。此装置包括具有第一RF频率的第一RF电源。此装置还包括加工室。此装置还包括工作时耦合到第一RF电源并且在衬底置于加工室中以进行加工时处于由衬底所确定的平面上方的基本上圆形的天线。基本上圆形的天线被构型为利用由第一RF电源产生的第一RF能量在加工室内部感应电场。基本上圆形的天线至少包括第一平面内的第一对同心环和第二平面内的第二对同心环。第一对同心环和第二对同心环基本上完全相同,并基本上彼此对称地定位。基本上圆形的天线形成加工室中的方位对称的等离子体。
此装置还包括设在天线与加工室之间的耦合窗口。耦合窗口被构型为使第一RF能量能够从天线到达加工室内部。耦合窗口具有第一层和第二层。第二层被构型为基本上抑制大致圆形的天线与等离子体之间形成的电容性耦合。基本上圆形的天线和耦合窗口一起作用,以便在衬底表面上产生基本上均匀的加工速率。
在另一个实施方案中,本发明涉及到一种用来对加工室内部的衬底进行加工的基本上圆形的天线装置。此天线装置在工作时被耦合到第一RF电源并且在衬底被置于加工室内部以进行加工时处于由衬底所确定的平面上方。此天线装置包括第一平面内的第一对同心环和第二平面内的第二对同心环。第二对同心环被有效地耦合到第一对同心环。第二对同心环与第一对同心环基本上完全相同,并基本上彼此对称地定位。第二对同心环靠近第一对同心环,而第一对同心环被排列在第二对同心环上方。基本上圆形的天线装置利用第一RF电源产生的第一RF能量来形成加工室中的方位对称的电场,其中方位对称的电场形成基本上方位对称的等离子体,这就在衬底表面上产生了基本上均匀的加工速率。
在另一个实施方案中,本发明涉及到一种利用加工室中的等离子体来加工衬底的耦合窗口装置。此耦合窗口被设在天线与加工室之间。此耦合窗口被构型为来使第一RF能量能够从天线通入到加工室内部。此过程在天线与等离子体之间形成电容性耦合。此装置包括由介电材料形成的第一层和由能够充分抵抗加工过程中存在于加工室中的等离子体的导电材料形成的第二层。第二层被接合到第一层,且第二层形成加工室的部分内周边表面。第二层也被构型为充分地抑制加工过程中在天线与等离子体之间形成的电容性耦合。
附图的简要说明
在附图中用举例的方法而不是用限制的方法来描述本发明,其中相似的标号表示相似的元件,且其中:
图1示出了用于等离子体加工的现有技术的感应等离子体加工反应器。
图2示出了根据本发明一个实施方案的等离子体加工系统,它包括天线装置和耦合窗口装置。
图3示出了根据本发明一个实施方案的多匝天线装置。
图4是根据本发明一个实施方案的多匝天线装置的剖面侧视图。
图5是根据本发明一个实施方案的多层耦合窗口的剖面侧视图。
优选实施方案的详细描述
现参照其几个优选实施方案并由附图示出来详细描述本发明。在下列描述中,提出了大量具体细节,以便透彻地理解本发明。但对于本技术领域的熟练人员来说,本发明显然可以不考虑这些具体细节中的某些或全部而加以实施。在其它的情况下,为了不使本发明不必要地难以理解而没有详细描述众所周知的工艺步骤。
在衬底的加工过程中,工艺工程师努力改进的一个最重要的参数是工艺的均匀性。作为此处采用的术语,腐蚀均匀性指的是衬底表面上整个腐蚀工艺的均匀性,包括腐蚀速率、微加载、掩模选择性、下层选择性、临界尺寸控制以及侧壁角和粗糙度等的分布特性。例如,若腐蚀是高度均匀的,则可望衬底上不同点处的腐蚀速率倾向于基本上相等。在此情况下,衬底的一个区域不太可能被不适当地过腐蚀而其它区域却仍然腐蚀不够。
本发明提供了一种能够产生均匀腐蚀的用来加工衬底的等离子体加工系统。此等离子体加工系统包括RF电源和加工室。此等离子体加工系统还包括工作时耦合到RF电源并且在衬底被置于加工室中以进行加工时处于由衬底确定的平面上方的基本上圆形的天线。基本上圆形的天线被构型为用RF电源产生的RF能量感应加工室中的电场。基本上圆形的天线至少具有第一平面内的第一对同心环和第二平面内的第二对同心环。第一对同心环和第二对同心环基本上完全相同,并彼此沿共同轴对称地定位。
此等离子体加工系统还包括设在天线与加工室之间的耦合窗口。此耦合窗口被构型为使RF能量能够从天线通入到加工室内部。而且,此耦合窗口具有第一层和第二层。第二层被构型为通过吸收至少部分通过耦合窗口的电压来降低窗口与等离子体之间形成的电压降。基本上圆形的天线和所述的耦合窗口被安置为公共作用,以便在加工室内部形成方位对称的等离子体,从而在衬底表面上产生基本上均匀的加工速率。
根据本发明的一个方面,借助于提供一种用来产生均匀等离子体的改进了的天线装置实现衬底表面上的加工均匀性。如所述,功率被馈送到天线,以便在加工室内感应一个电场因而感应圆形电流。相应地,电场对加工室中的电子进行加速,使之与加工气体的气体分子碰撞,结果发生电离并点燃等离子体。
产生等离子体之后,功率被馈送到下电极,离子向着衬底被加速。被加速了的离子和衬底表面处的中性组分与设置在衬底表面上的材料发生反应,从而对衬底进行加工。通常,当衬底一个区域的等离子体密度比较大时,将产生不均匀的加工速率。因此,改进了的天线装置被构型为通过引入方位对称的电场而降低这些等离子体变化,从而产生更微均匀的加工速率。
在一个实施方案中,改进了的天线被构型为产生方位对称的圆形电流。虽然不希望受理论的束缚,但相信功率耦合的传输线特性响应于感应电场而产生了圆形电流的方位变化。这些传输线特性倾向于产生沿天线长度形成高低电压起伏区的驻波,结果在感应电场中形成高低电流密度的起伏区,亦即,当电压高时,电流小,而当电压低时,电流大。如本领域技术人员所知的那样,馈入到等离子体中的功率依赖于电流密度。例如,电流密度高处,等离子体密度倾向于高,而电流密度低处,等离子体密度倾向于低。因此,当电流密度具有高低电流起伏区时,通常产生方位不对称的等离子体。
更具体地说,当RF能量的波长小于天线长度时,在驻波图形中将出现更多的节点。通常,驻波决定于下列方程:天线电学长度=1/2(波长)*n,其中n=节点数目。大多数天线装置的长度约为1.5-2.5波长,结果产生大约3-5个节点。这些节点对应于上述的低电压。
改进了的天线,借助于被构型成起功率发送系统而不是传输线中的集总参数电路元件而克服了这一缺点。亦即,改进了的天线被构型为在工作频率下具有小于RF能量波长的外观长度。结果减少了节点数目,因而基本上消除了感应电流的方位变化,且不再保持传输线模拟。
在一个实施方案中,改进了的天线装置是一种多匝天线,起工作相似于单匝天线。此多匝天线是一种基本上单一的导电元件,它包括紧密绕制并层叠在一起的多个环。借助于将各个环紧密绕制并层叠在一起,可以将天线的总尺寸(例如外径)做得更小而不影响感应的圆形电流的强度。而且,借助于减小天线的尺寸,能够将天线的总尺寸做得更小,其结果是降低了天线的传输线特性。再者,由于各个环被彼此邻近排列,故还能够减小各个匝之间通常存在的径向变化。相应地,这里改进了的天线装置有利地感应出方位对称的圆形电流。因此,方位对称的圆形电流倾向于形成方位对称的等离子体,其结果是倾向于在衬底表面处产生均匀的等离子体加工。
多匝叠层天线装置的另一种情况是自屏蔽特性,亦即,等离子体被邻近窗口的各个匝屏蔽掉天线端子电压。这导致电容性耦合和随后的窗口侵蚀的明显降低,下面将更详细地描述这二者。
根据本发明的另一种情况,改进了的耦合窗口被构型为降低出现在天线与等离子体之间的电容性耦合。大多数功率耦合装置(例如天线、耦合窗口以及等离子体)在天线与等离子体之间产生某种电容性耦合。电容性耦合由出现在天线与等离子体之间的电压降产生。此电压降通常在靠近耦合窗口处产生表面电位。如本技术领域熟练人员众所周知的那样,此表面电位可以导致等离子体中的额外的变化,例如,此表面电位可以将等离子体推离窗口,引起电感耦合系数下降。此外,由于离子对耦合窗口的轰击,此表面电位甚至可以产生明显的颗粒沾污。而且,离子对窗口的轰击所消耗的任何功率通常不能用来产生等离子体,这相应使得对于给定的功率得到的等离子体密度更低。
为了降低天线与等离子体之间的电容性耦合,改进了的耦合窗口被构构型为包括设在一起的介质层和屏蔽层。设在加工室内部的屏蔽层最好被构型为用作将电压导离耦合窗口表面的静电屏蔽。此屏蔽层主要抑制对等离子体的电容性耦合。而且,此屏蔽层被构型为消除电容性(静电,电位梯度)电场,同时保持电感性(涡流B,梯度F=0型)电场基本上不变。亦即,耦合窗口被构型为阻挡通过耦合窗口的直接电容性耦合,同时使天线能够感应形成等离子体(对屏蔽层没有明显的损耗)。
更具体地说,屏蔽层被电绝缘并由导电或半导体材料制成。因此,通常可能出现在天线与等离子体之间的电压降,现在出现在天线与屏蔽层之间。因此,耦合窗口附近的表面电位被明显地降低,其结果是提高了电感耦合系数,并降低了由无效益的耦合窗口离子轰击造成的功率损耗。
而且,未被接地的静电屏蔽会产生均匀的静电场,仅仅屏蔽屏蔽区上静电场的变化。这一新特点可以被用来促进等离子体的触发。此外,由于屏蔽层被暴露于加工室内部,故最好由能够抗等离子体加工的热、化学、和物理作用的材料制成。
参照附图和下面的讨论,能够更好地理解本发明的特点和优点。
图2示出了根据本发明一个实施方案的等离子体加工系统200,它包括加工室202,等离子体204在其中被点燃并保持以便加工衬底206。衬底206代表待要加工的工件,它可以代表例如待要腐蚀、淀积、或加工的半导体衬底,或待要加工成平板显示器的玻璃平板。此外,加工室202最好被设置成基本上圆柱形并具有基本上垂直的加工室壁208。但应该指出的是,本发明不局限于上述情况,而是可以使用各种各样构造的加工室。
等离子体加工系统200还包括天线装置210和耦合窗口装置212,它们被构型为将功率耦合到等离子体204。天线装置210被耦合到构型为向天线装置210馈送频率约为0.4-50MHz的RF能量的第一RF电源214。耦合窗口212被构型为使第一RF能量能够从天线210传递到所述加工室内部。耦合窗口212最好设在衬底206与天线装置210之间。
而且,天线装置210应该足够靠近耦合窗口,以便于等离子体204的形成。亦即,天线装置越靠近耦合窗口,加工室中产生的电流的强度就越大。再者,天线装置210最好被安置成与加工室202和衬底206同轴。应该理解的是,天线装置的对称定位可以增强衬底表面上的等离子体均匀性,但这可能不是所有工艺都要求的。下面更详细地描述天线装置210和耦合窗口212。
气体注入器215通常设在加工室202中。气体注入器215最好环绕加工室202的内部周边设置,并设置为将气态源材料例如腐蚀剂源气体释放到耦合窗口212与衬底206之间的RF感应的等离子体区域中。可以选择地,气态源材料也可以从设在加工室本身壁中的入口释放,或通过设置在电介质窗口中的喷头释放。应该理解的是,气体的对称分布可以增强衬底表面上的等离子体均匀性,虽然这可能不是所有加工都要求的。在这里引用的同在申请中的名称为“PLASMAPROCESSING SYSTEM WITH DYNAMIC GAS DISTRIBUTION CONTROL”的专利申请(律师案卷号:No.LAM1P0123/P0557)中,更详细地描述了可以用于示例性等离子体加工系统中的气体分布系统的例子。
多数情况下,衬底206被引入到加工室202中并置于加工过程中用来固定衬底的吸盘216上。吸盘216可以是例如ESC(静电)吸盘,它利用静电力将衬底206固定到吸盘表面。通常,吸盘216用作底电极,且最好由第二RF电源218施加偏压。此外,吸盘216最好被设置成基本上圆柱形并与加工室202轴向对准,使加工室与吸盘圆柱对称。吸盘216也可以被构型成在用于装载和卸下衬底206的第一位置(未示出)与用于加工衬底的第二位置(未示出)之间移动。
再参照图2,排气口220被设在加工室壁202与吸盘216之间。但排气口的实际位置可以根据各个等离子体加工系统的具体设计而改变。但在高均匀性很重要的情况下,圆柱对称的排气口非常有利。排气口220最好被构型为排出加工过程中形成的副产品气体。而且,排气口220被耦合到通常位于加工室202外面的涡轮分子泵(未示出)。如本领域技术人员众所周知的那样,涡轮分子泵保持了加工室202内部的适当压力。
而且,在诸如腐蚀加工的半导体加工的情况下,为了保持高的容差结果,必须严格控制加工室中的大量参数。加工室的温度是一个这样的参数。由于腐蚀容差(并会决定半导体基器件的性能)对系统中各个组分的温度起伏能够非常敏感,故要求精确的控制。举例来说,在这里引用的同处于申请中的名称为“TEMPERATURE CONTROL SYSTEMFOR PLASMA PROCESSING APPARATUS”的专利申请(律师案卷号:No.LAM1P0124/P0558)中,更详细地描述了可以用于示例性等离子体加工系统中来得到温度控制的温度控制系统。
此外,在获得对等离子体加工的严格控制过程中的另一个重要考虑是用于等离子体加工室例如加工室壁内部表面的材料。再一个重要考虑是用来加工衬底的气体化学性质。举例来说,在这里引用的同处于申请中的名称为“MATERIALS AND GAS CHEMISTRIES FOR PLASMAPROCESSING SYSTEMS”的专利申请(律师案卷号:No.LAM1P0128/P0561-1)中,更详细地描述了可以用于示例性等离子体加工系统中的材料和气体化学性质。
为了产生等离子体,加工气体通过注入器215被输入到加工室202中。然后用第一RF电源214将功率馈送到天线装置210,并通过耦合窗口212在加工室202内部感应出强电场。此电场将存在于加工室内部的少量电子加速,使之与加工气体的气体分子碰撞。这种碰撞导致电离并开始放电即点燃等离子体204。如本领域技术人员众所周知的那样,加工气体的中性气体分子在受到这种强电场时失去电子,并形成带正电的离子。结果,带正电的离子、带负电的电子以及中性气体分子被包含在等离子体204中。
一旦形成了等离子体,等离子体中的中性气体分子就易于被导引向衬底表面。举例来说,有助于中性气体分子存在于衬底处的一种机制可以是扩散(亦即,各个分子在加工室内部的随机运动)。于是,沿衬底206的表面通常可以发现一层中性物质(例如中性气体分子)。相应地,当底电极216被施加功率时,离子易于向着衬底加速,这些离子在此处与中性物质结合,开始衬底加工,亦即腐蚀、淀积等。
多数情况下,等离子体204主要停留在加工室的上部区域(例如作用区)中,但部分等离子体可以倾向于充满整个加工室。等离子体通常到达它能够维持的地方,几乎是加工室中任何地方。举例来说,等离子体可以填充诸如泵装置的波纹管之类的衬底下方区域(例如非作用区)。若等离子体到达这些区域,则可能发生这些区域的腐蚀、淀积、和/或侵蚀。这可以由于对此区域的腐蚀或淀积的材料剥落而导致加工室内部的颗粒沾污。
而且,未被限制的等离子体倾向于形成不均匀的等离子体,可以导致工艺性能亦即腐蚀均匀性、总的腐蚀速率、腐蚀分布、微加载、选择性等的变化。为了减轻上述作用,等离子体限制装置可以被用来限制等离子体。举例来说,在这里引用的同处于申请中的名称为“METHOD AND APPARATUS FOR CONTROLLING THE VOLUME OF PLASMA”的专利申请(律师案卷号:No.LAM1P0129/P0561-2)中,更详细地描述了可以用于示例性等离子体加工系统中来限制等离子体的一种等离子体限制装置。
根据本发明的第一方面,等离子体加工装置配备有多匝天线装置,致使在等离子体加工装置的加工室内感应出方位对称的电场。图3和4示出了根据本发明一个实施方案的多匝天线装置400。多匝天线装置400包括工作时耦合到RF电源的404的多匝天线402,它们例如分别对应于图2所示天线210和RF电源214。
如所述,若天线长度比波长短,则功率耦合的传输线描述不再适当,功率耦合开始表现为集总电路元件。因此,多匝天线402被构型为具有小于被传输能量波长的长度。借助于减小天线的长度,驻波图形中产生更少的节点,结果,沿天线方位方向的高压和低压区被明显地减小。
多匝天线最好被构型为具有紧密地排列在一起的多个匝,使产生的电磁场看起来像来自单匝天线。更具体地说,借助于将各个匝更紧密地绕制在一起,提高了天线的电流产生能力。例如,若天线由彼此靠近的4匝组成,则通过等离子体的电流易于成为天线中的大约4倍。相应地,这一被集中的电流传送到更均匀的被集中的等离子体。结果,天线的直径相对于加工室的直径,能够被做得更小,这又减小了天线的长度。下面更详细地描述天线的实际尺寸。
多匝天线402基本上是圆形的,且包括至少第一平面内的第一对同心环406和第二平面内的第二对同心环410。第一对同心环406和第二对同心环410最好基本上相同且彼此沿天线轴414对称地定位。应该指出的是,基本上圆形的天线将产生基本上圆形的电场,其结果是将产生基本上圆形的等离子体。因此,由于加工室和衬底是圆形的,故理所当然的是基本上圆形的等离子体倾向于在衬底表面出产生更为均匀的加工。
虽然本发明已经被示为基本上圆形,但应该理解的是,对于诸如用于显示器或在加工室设计中用于补偿某些不对称性的要求不同形状的衬底的应用,也可以采用其它的形状。举例来说,根据上述相同原理的椭圆形或带圆角的矩形也可以很好地工作。
而且,第一对同心环406最好被层叠在第二对同心环410上。单平面天线由于端子电压和所有电压节点直接靠近窗口而通常产生被提高了的电容性耦合量。但由于叠层天线以及第一对同心环与第二对同心环之间的对称性定位,高的端子电压被第二对同心环有利地屏蔽。更具体地说,由于第二对同心环为电压降提供了导电通路因而此电压降不与等离子体相互作用,故通常可能出现在第一同心环与等离子体之间的电压降(例如电容性耦合)被明显地降低。
此外,第一对同心环406最好包括第一匝416和第四匝418,而第二对同心环410最好包括第二匝420和第三匝422。而且第一匝416基本上与第二匝420相同并被排列在第二匝420上,而第四匝418基本上与第三匝422相同并被排列在第三匝422上。
第一匝416工作时被耦合到第二匝420,第二匝420工作时被耦合到第三匝422,而第三匝422工作时被耦合到第四匝418,其中各个匝被设置成使电流沿相同的方向围绕着天线轴线414。在一个实施方案中,多匝天线由单一导电元件制成。但应该指出的是,这不是限制,多匝天线可以由结构上和电学上耦合到一起的各个分开的部分组成。此外,多匝天线402包括输入引线424和输出引线426。输入引线424在工作时被耦合到第一匝416,而输出引线426在工作时被耦合到第四匝418。因此,借助于将RF电压施加到输入引线424与输出引线426之间,就使RF电流流过多匝天线402。
仍然参照图3和4,第四匝418的直径大于第一匝416,而第三匝422的直径大于第二匝420。虽然外面的各匝(例如第三匝和第四匝)直径更大,但它们最好被排列成靠近里面的各匝(例如第一匝和第二匝)。亦即,第四匝418最好被安置成靠近第一匝416,而第三匝418最好被安置成靠近第二匝420。其紧密靠近的结果是,多匝天线看起来就像单匝天线,且其作用与单匝天线相似(例如匝之间基本上无间隔)。因此,沿径向方向的高低电流区被明显地减小。
如本领域技术人员众所周知的那样,二个导体之间小的间隔通常会在二个导体之间产生电弧。因此,内外各匝之间的间隔受到消弧距离的限制。但在本发明的一种实现方法中,用电介质材料填充此间隔,以便使内外各匝能够彼此排列得尽可能紧密,同时基本上消除了内外各匝之间的电弧。举例来说,间隔约为0.2-1cm的特弗龙或陶瓷材料就发挥很好的作用。
而且,多匝天线通常由铜制成。在一种实现方法中,多匝天线由镀银的铜制成。但应该指出的是,多匝天线不局限于铜或镀银的铜,而是可以采用任何适当的导电金属。在一个实施方案中,天线环的剖面是矩形以便于各匝相对于窗口和彼此的可重复地定位。但应该指出的是,这不是一种限制,而是也可以采用其它的剖面形状。可以选择,天线环可以由空腔导梯制成,以便于温度控制(亦即在其中通以流体)。
关于多匝天线的总尺寸亦即外径,通常最好是(但不是绝对必须)使天线的尺寸小于加工室的剖面,以便使等离子体集中在衬底上方区域中并防止等离子体过分地扩散到加工室壁,而其不利之处是需要更多的功率来操控等离子体加工系统并增加壁的侵蚀。而且,待要产生的等离子体的尺寸通常对应于所用天线的尺寸,因此,多匝天线的外径应该基本上相似于衬底的直径,以便产生均匀的腐蚀速率。举例来说,衬底的尺寸通常约为6-12英寸,因而在一个实施方案中,多匝天线的外径约为6-12英寸。
为了进一步完善,由于电流容量提高了,亦即多匝天线如单匝那样起作用,故多匝天线可以被构造成小于衬底。亦即,更高的电流密度倾向于产生大得足以对衬底进行加工的等离子体。但应该理解的是采用较小天线并不是所有的加工都需要的,亦即天线可以被构型为大于衬底。但若均匀性很关键,则采用较小的天线是非常有利的。举例来说,为了加工一个12英寸的衬底,天线的直径可以被构造成约为6-15英寸,优选约为7-11英寸。但应该指出的是,这不是一种限制,天线的实际尺寸可以根据衬底的具体尺寸(例如,当涉及到或大或小的衬底时,可以按需要换算天线尺寸)和各个等离子体加工系统的具体结构而改变。
关于使用的RF频率,作为一般原则,较低的RF频率(例如低于13MHz)由于降低了驻波效应而易于减小功率耦合的传输线特征。亦即,较低的频率倾向于使天线的固有方位对称的耦合特征更不明显。而且,在较低的RF频率下,天线与等离子体之间的电容性耦合也更不明显,耦合窗口的离子轰击因而也更不明显。因此,RF电源的频率通常被构造成低于或等于大约13MHz,优选约为0.4-13MHz,约为4MHz更好。应该理解的是,使用较低的频率并不是所有加工都要求的。但若高度均匀性很关键,则使用低的频率是非常有利的。
如上所述,本发明的第一方面的优点是很多的。不同的实施方案即实现方法可以具有下列优点中的一个或多个。本发明的一个优点是在加工室内部产生方位对称的等离子体。结果获得了更高的工艺均匀性,这就提高了衬底的产出,降低了器件故障,并提高了被加工衬底的总的生产率。本发明的另一个优点是本发明的天线装置是自屏蔽的,因而减小了天线与等离子体之间的电容性耦合。相应地降低了耦合窗口的离子轰击,因而延长了耦合窗口的寿命,并降低了与离子轰击相关的颗粒沾污。
根据本发明的第二方面,等离子体加工装置配备有多层耦合窗口装置来明显地降低天线与等离子体之间的电容性耦合。为了便于本发明这一情况的讨论,图5示出了根据本发明一个实施方案的多层耦合窗口装置500。此多层耦合窗口装置500可以分别对应于图2所示的耦合窗口212。多层耦合窗口500至少包括第一层504和第二层506。第一层504最好被接合到第二层506。在一种实现方法中,二个层被热接合到一起。但应该指出这不是一种限制,而是可以采用其它的接合方法。可以选择,各个层之间可以设有间隔,亦即真空间隔或使气体能够在层之间流动的间隔,同时仍然获得所述的优点。而且,第二层506最好形成加工室内周边表面的一部分。
首先提到第二层,第二层被构型为用作降低其表面上的电位差的静电屏蔽。而且,第二层被设置成被电绝缘并最好由能利于感应从天线到等离子体的RF能量传递的导电或半导电材料制成。此外,由于第二层被暴露于加工室内的等离子体,故第二层最好由明显地抗等离子体的材料制成。在一个优选实施方案中,第二层由碳化硅(SiC)制成。在大多数情况下,SiC能够抗等离子体加工的热效应、化学效应、以及物理效应。此外,SiC通常被分类为电介质,仍然产生一些电阻。电阻性质是产生屏蔽作用的性质,而介电性能是能够产生感应耦合的性质。
第二层的电阻率是确保此层起静电屏蔽作用而不影响感应电场的一个重要参数。对于大多数情况,被用于本发明的特定电阻率范围依赖于与耦合窗口一起被使用的天线的准确尺寸、功率耦合的工作频率、以及第二层的厚度。举例来说,约为100欧姆·厘米-10k欧姆厘米的电阻率很合适。但应该指出的是,若有需要,可以使电阻率大于106欧姆·厘米,以便使第二层(例如SiC)更像介电层。
虽然不希望受理论束缚,但相信第二层的电阻为用来形成等离子体的气体提供了一个等电位表面。例如,在点燃等离子体之后,第二层上的电位由于等离子体靠近第二层而被明显地降低。而且,通常例如由上部和下部构成电容性分压器,上部由具有恒定电容的第一介电层构成,下部在点燃之前由导电的第二层和加工室壁构成,而在点燃之后由导电的第二层和等离子体构成。在点燃之前,下部具有小的电容,因而存在着大电压协助点燃(例如,为了开始放电,通常需要电容性电场)。在点燃之后,下部具有大的电容,致使电压被明显地降低,因而不导致明显的电容性功率耦合。
现涉及到第一层,第一层最好由能够便于RF能量从天线传递到等离子体的介电材料制成。而且第一层被构型为坚固得在结构上足以保持真空且结实得足以在周期性清洗加工室的过程中容易处理。此外,第一层通常由具有优异热性能的介电材料制成,以便能够对窗口进行温度控制。举例来说,由氮化硅(SiN)或氮化铝(AlN)制成的介电材料运行得很好。但应该理解,这不是一种限制,也可以采用其它材料。例如氧化铝和石英运行得也很好。
多层耦合窗口500的总厚度被构造成薄得足以有效地将天线RF能量传输到等离子体,同时足以承受加工过程中产生的压力和热。多层耦合窗口的厚度优选约为0.5-1英寸。多层耦合窗口的厚度更优选约为0.8英寸。而且,第一层504的厚度应该大于第二层506。第一层的厚度优选约为0.5-1英寸。第一层的厚度更优选约为0.6英寸。而且,第二层的厚度优选约为0.1-0.5英寸。第二层的厚度约为0.2英寸更优选。应该理解的是,各层的实际厚度可以根据为各层选定的具体材料而改变。
在一个实施方案中,第二层被构型为覆盖整个第一层,以便保护第一层免受等离子体曝光并确保纯材料(例如SiC)面向与衬底接触的等离子体区。但应该指出这不是一种限制,而是可以采用其它的构造。例如,第二层可以被构型为仅仅覆盖面向天线附近的等离子体的第一层部分。
注意,并不要求耦合窗口的尺寸要等于等离子体加工室的尺寸。但通常,小的耦合窗口可以降低成本,特别是当采用诸如SiC之类的昂贵材料时更是如此。在一个实施方案中,窗口耦合的形状被构造成与天线装置的形状相符,耦合窗口因而被构造成基本上为圆形。在另一个实施方案中,耦合窗口的外尺寸可以被构造成延伸超过天线外尺寸一个小的距离,以便减小对可能包围天线的导电元件的任何耦合。在一个例子中,耦合窗口的外尺寸被安排成延伸超过天线外尺寸大约1英寸。在再一个实施方案中,耦合窗口可以具有基本上相同于天线的形状亦即圆形。
关于所用的介电性能(例如介电常数),作为一般原则,较低的介电常数例如约小于10利用减少驻波效应易于降低功率耦合的传输线特征的效果。更具体地说,较低的介电常数易于使被传输的能量的波长更长,这使得天线看起来更短,因而在驻波图形中产生更少的节点。因此,较低的介电常数倾向于使天线的任何固有方位不对称耦合特征更不明显。
在一个实施方案中,耦合窗口的总介电常数最好被构造成小于或等于大约10。应该理解的是,采用较低的介电常数可能不是所有的加工都要求。实际上,在对高方位对称性与结构和热设计的简单性要求之间通常需要进行折中。但若高的均匀性是关键的,则采用低介电常数非常有利。
如从上面可见,本发明的第二情况的优点有很多。不同的实施方案即实现方法可以具有下列优点中的一个或多个。例如,本发明提供了显著地降低天线与等离子体之间的电容性耦合的耦合窗口。结果,等离子体的变化被明显地减小了。例如,电感耦合系数通常被保持,耦合窗口的离子轰击通常被降低,更多的功率可用来产生等离子体,亦即给定的功率有更高的等离子体密度。而且,由于离子轰击被减小,故与离子轰击相关的颗粒沾污通常被减少,结果就减小了与厚度变化的窗口(亦即淀积物或被清除的材料)相关的工艺变化。此外,本发明利用了倾向于减小工艺变化的纯材料亦即SiC。
而且,设想可以与上述多匝天线和多层耦合窗口一起使用额外的元件来进一步提高衬底在等离子体加工系统中的均匀加工。举例来说,能够与多匝天线一起设置磁性装置来控制加工室中靠近耦合窗口和多匝天线的区域内的静态磁场的径向变化。在这里引用的同处于申请中的名称为“IMPROVED PLASMA PROCESSING SYSTEMS AND METHODSTHEREFOR”的专利申请(律师案卷号:No.LAM1P0122/P0527)中,可以找到这种磁性装置的例子。
虽然根据几个优选实施方案已经描述了本发明,但存在着本发明范围内的各种改变、变换和等效方案。还应该指出的是,存在着许多实现本发明的方法和装置的可选方式。因此,应认为下列所附权利要求书包括所有这些属于本发明构思与范围内的改变、变换和等效方案。

Claims (30)

1.一种利用等离子体来加工衬底的等离子体加工装置,它包括:
具有第一RF频率的第一RF电源;
加工室;
耦合到所述第一RF电源并且在所述衬底被置于所述加工室内以进行加工时设于由所述衬底确定的平面上方的基本上圆形的天线,所述基本上圆形的天线被构型为利用由所述第一RF电源产生的第一RF能量在所述加工室内感应电场,所述基本上圆形的天线至少包括第一平面内的第一对同心环和第二平面内的第二对同心环,所述第一对同心环和所述第二对同心环基本相同并基本上彼此对称地定位,
所述基本上圆形的天线形成所述加工室中的方位对称的等离子体;以及
设在所述天线与所述加工室之间的耦合窗口,所述耦合窗口被构型为使所述第一RF能量能够从所述天线传递到所述加工室内部,所述耦合窗口具有第一层和第二层,所述第二层被构型为显著地抑制所述基本上圆形的天线与所述等离子体之间形成的电容性耦合,
所述基本上圆形的天线和所述耦合窗口一起作用,以便在所述衬底表面上产生基本上均匀的加工速率。
2.如权利要求1所述的等离子体加工装置,其特征在于,所述第一对同心环靠近所述第二对同心环。
3.如权利要求1所述的等离子体加工装置,其特征在于,所述第一对同心环层叠在所述第二对同心环上面。
4.如权利要求3所述的等离子体加工装置,其特征在于,所述第二对同心环屏蔽所述第一对同心环产生的终端电压。
5.如权利要求1所述的等离子体加工装置,其特征在于,所述第一对同心环具有第一匝和第四匝,所述第二对同心环具有第二匝和第三匝,所述第四匝的直径大于所述第一匝,而所述第三匝的直径大于所述第二匝,所述第一匝与所述第二匝基本上相同,而所述第三匝与所述第四匝基本上相同,所述第一匝设在所述第二匝上,而所述第四匝设在所述第三匝上,所述第一匝工作时被有效地耦合到所述第二匝,所述第二匝工作时被有效地耦合到所述第三匝,所述第三匝被有效地耦合到所述第四匝,所述的各个匝被设置成所述各个匝中的电流沿相同的方向流动。
6.如权利要求5所述的等离子体加工装置,其特征在于,所述第一匝靠近所述第四匝,而所述第二匝靠近所述第三匝,所述第一匝向所述第四匝以及所述第二匝向所述第三匝的靠近明显地降低了所述基本上圆形的天线的径向变化。
7.如权利要求1所述的等离子体加工装置,其特征在于,当所述衬底被置于所述加工室内时所述基本上圆形的天线相对于所述加工室和衬底所述衬底的中心对称地定位,且其中所述基本上圆形的天线在所述衬底被置于所述加工室内部时被设在所述衬底上方。
8.如权利要求1所述的等离子体加工装置,其特征在于,所述基本上圆形的天线被靠近所述耦合窗口设置。
9.如权利要求1所述的等离子体加工装置,其特征在于,所述耦合窗口的所述第一层和第二层被接合到一起。
10.如权利要求1所述的等离子体加工装置,其特征在于,所述第二层构成所述加工室的部分内周边表面。
11.如权利要求1所述的等离子体加工装置,其特征在于,所述第一层由介电材料制成。
12.如权利要求11所述的等离子体加工装置,其特征在于,所述第一层由选自氮化硅或氮化铝的材料制成。
13.如权利要求1所述的等离子体加工装置,其特征在于,所述第二层由导电材料制成。
14.如权利要求13所述的等离子体加工装置,其特征在于,所述第二层由电阻率约为100-10000欧姆·厘米的SiC制成。
15.如权利要求1所述的等离子体加工装置,其特征在于,所述第二层由基本上抵抗所述加工过程中存在于所述加工室中的所述等离子体的材料制成。
16.如权利要求15所述的等离子体加工装置,其特征在于,所述第二层由SiC制成。
17.如权利要求1所述的等离子体加工装置,其特征在于,所述第二层被构型成电流动的。
18.如权利要求1所述的等离子体加工装置,其特征在于,所述第一RF频率构造成约为4MHz。
19.如权利要求1所述的等离子体加工装置,其中所述加工室是基本上圆柱形的等离子体加工室。
20.一种用来对加工室内部的衬底进行加工的基本上圆形的天线装置,所述天线装置被有效地耦合到第一RF电源并且在所述衬底被置于所述加工室内以进行所述加工时设在由所述衬底确定的平面上方,它包括:
第一平面内的第一对同心环;
第二平面内的第二对同心环,
所述第二对同心环被有效地被耦合到所述第一对同心环,所述第二对同心环与所述第一对同心环基本上相同,并基本上与所述第一对同心环对称地定位,所述第二对同心环靠近所述第一对同心环,所述第一对同心环被设在所述第二对同心环上方,
所述基本上圆形的天线装置利用由所述第一RF电源产生的第一RF能量来形成所述加工室中的方位对称的电场,其中所述方位对称的电场形成基本上方位对称的等离子体,这就在所述衬底表面上产生了基本上均匀的加工速率。
21.如权利要求20所述的天线装置,其特征在于,所述第一RF频率构造成约为4MHz。
22.一种利用加工室中的等离子体来加工衬底的耦合窗口装置,所述耦合窗口设在天线与所述加工室之间,所述天线被构型为产生RF能量,所述产生在所述天线与所述等离子体之间形成电容性耦合,所述耦合窗口装置包含:
由介电材料形成的第一层;以及
接合到所述第一层的第二层,所述第二层由基本上抵抗所述加工过程中存在于所述加工室中的所述等离子体的材料制成,所述第二层形成所述加工室的部分内周边表面,
所述第一层和所述第二层被构型为使所述RF能量能够从所述天线传递到所述加工室内部。
23.如权利要求22所述的耦合窗口装置,其特征在于,所述第二层被构型为显著地抑制所述产生过程中在所述天线与所述等离子体之间形成的所述电容性耦合。
24.如权利要求23所述的耦合窗口装置,其特征在于,所述第二层由导电材料制成。
25.如权利要求24所述的耦合窗口装置,其特征在于,所述第二层由电阻率约为100-10000欧姆·厘米的SiC制成。
26.如权利要求22所述的耦合窗口装置,其特征在于,所述第二层由介电材料制成。
27.如权利要求26所述的耦合窗口装置,其特征在于,所述第二层由电阻率大于106欧姆·厘米的SiC制成。
28.如权利要求22所述的等离子体加工装置,其特征在于,所述第一层由选自氮化硅或氮化铝的材料制成。
29.如权利要求22所述的等离子体加工装置,其特征在于,所述第二层由SiC制成。
30.如权利要求22所述的等离子体加工装置,其特征在于,所述第二层被构造成电流动的。
CNB008184070A 1999-11-15 2000-11-14 用于产生均匀加工速率的方法和装置 Expired - Lifetime CN1227710C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/440,418 US6320320B1 (en) 1999-11-15 1999-11-15 Method and apparatus for producing uniform process rates
US09/440,418 1999-11-15

Publications (2)

Publication Number Publication Date
CN1423827A true CN1423827A (zh) 2003-06-11
CN1227710C CN1227710C (zh) 2005-11-16

Family

ID=23748690

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008184070A Expired - Lifetime CN1227710C (zh) 1999-11-15 2000-11-14 用于产生均匀加工速率的方法和装置

Country Status (8)

Country Link
US (2) US6320320B1 (zh)
EP (1) EP1230668B1 (zh)
JP (2) JP4758046B2 (zh)
KR (1) KR100826488B1 (zh)
CN (1) CN1227710C (zh)
AU (1) AU4902801A (zh)
TW (1) TW507253B (zh)
WO (1) WO2001045134A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100442456C (zh) * 2005-02-28 2008-12-10 三菱重工业株式会社 等离子体处理装置
CN103959920A (zh) * 2011-09-16 2014-07-30 细美事有限公司 天线结构和等离子体生成装置
CN109801824A (zh) * 2017-11-15 2019-05-24 北京北方华创微电子装备有限公司 介质窗组件及反应腔室

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6518705B2 (en) 1999-11-15 2003-02-11 Lam Research Corporation Method and apparatus for producing uniform process rates
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6744213B2 (en) * 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
EP1230664B1 (en) * 1999-11-15 2008-05-07 Lam Research Corporation Processing systems
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7218739B2 (en) * 2001-03-09 2007-05-15 Microsoft Corporation Multiple user authentication for online console-based gaming
US20020128061A1 (en) * 2001-03-09 2002-09-12 Blanco Victor Keith Method and apparatus for restricting access to content in a gaming system
US20020128067A1 (en) * 2001-03-09 2002-09-12 Victor Keith Blanco Method and apparatus for creating and playing soundtracks in a gaming system
US20020128068A1 (en) 2001-03-09 2002-09-12 Randall Whitten Jon Marcus Method and apparatus for managing data in a gaming system
US20020137565A1 (en) * 2001-03-09 2002-09-26 Blanco Victor K. Uniform media portal for a gaming system
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
US7203835B2 (en) * 2001-11-13 2007-04-10 Microsoft Corporation Architecture for manufacturing authenticatable gaming systems
US7428638B1 (en) 2001-11-13 2008-09-23 Microsoft Corporation Architecture for manufacturing authenticatable gaming systems
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
US6842147B2 (en) * 2002-07-22 2005-01-11 Lam Research Corporation Method and apparatus for producing uniform processing rates
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6973554B2 (en) 2003-04-23 2005-12-06 Microsoft Corporation Systems and methods for multiprocessor scalable write barrier
SG136148A1 (en) * 2004-03-30 2007-10-29 Adaptive Plasma Tech Corp Plasma source coil and plasma chamber using the same
KR100530596B1 (ko) * 2004-03-30 2005-11-23 어댑티브프라즈마테크놀로지 주식회사 웨이퍼 상에 높은 공정 균일도를 얻기 위한 플라즈마 소스코일을 채용하는 플라즈마 장비
US8131955B2 (en) 2004-04-15 2012-03-06 Microsoft Corporation Ephemeral garbage collection using a tracking mechanism on a card table to determine marked bundles
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
JP2010050188A (ja) * 2008-08-20 2010-03-04 Panasonic Corp プラズマドーピング装置
US8319436B2 (en) * 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
JP5592098B2 (ja) 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9313872B2 (en) 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR101757921B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8884178B2 (en) * 2010-10-20 2014-11-11 Lam Research Corporation Methods and apparatus for igniting and sustaining plasma
US8659229B2 (en) * 2011-05-16 2014-02-25 Varian Semiconductor Equipment Associates, Inc. Plasma attenuation for uniformity control
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20140060738A1 (en) * 2012-08-31 2014-03-06 Semes Co., Ltd. Apparatus for treating substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) * 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220094272A (ko) * 2020-12-28 2022-07-06 세메스 주식회사 기판 처리 장치
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240038557A1 (en) * 2022-07-28 2024-02-01 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3153743B2 (ja) 1995-08-31 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
JPH0982495A (ja) 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
KR100469134B1 (ko) * 1996-03-18 2005-09-02 비오이 하이디스 테크놀로지 주식회사 유도형플라즈마화학기상증착방법및그를이용하여생성된비정질실리콘박막트랜지스터
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
CA2207154A1 (en) 1996-06-10 1997-12-10 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
JP2845199B2 (ja) * 1996-06-14 1999-01-13 日本電気株式会社 ドライエッチング装置およびドライエッチング方法
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JP3940465B2 (ja) * 1997-05-14 2007-07-04 株式会社アルバック 反応性イオンエッチング装置
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6028395A (en) 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100442456C (zh) * 2005-02-28 2008-12-10 三菱重工业株式会社 等离子体处理装置
CN103959920A (zh) * 2011-09-16 2014-07-30 细美事有限公司 天线结构和等离子体生成装置
US9282624B2 (en) 2011-09-16 2016-03-08 Semes Co., Ltd. Antenna structure and plasma generating device
US9642238B2 (en) 2011-09-16 2017-05-02 Semes Co., Ltd. Antenna structure and plasma generating device
US9894745B2 (en) 2011-09-16 2018-02-13 Semes Co., Ltd. Antenna structure and plasma generating device
CN109801824A (zh) * 2017-11-15 2019-05-24 北京北方华创微电子装备有限公司 介质窗组件及反应腔室
CN109801824B (zh) * 2017-11-15 2022-07-22 北京北方华创微电子装备有限公司 介质窗组件及反应腔室

Also Published As

Publication number Publication date
EP1230668B1 (en) 2012-11-07
TW507253B (en) 2002-10-21
AU4902801A (en) 2001-06-25
JP4758046B2 (ja) 2011-08-24
WO2001045134A2 (en) 2001-06-21
JP5309179B2 (ja) 2013-10-09
WO2001045134A9 (en) 2002-11-14
JP2011175977A (ja) 2011-09-08
KR100826488B1 (ko) 2008-05-02
CN1227710C (zh) 2005-11-16
JP2003517197A (ja) 2003-05-20
US6653791B1 (en) 2003-11-25
KR20020068346A (ko) 2002-08-27
EP1230668A2 (en) 2002-08-14
US6320320B1 (en) 2001-11-20
WO2001045134A3 (en) 2002-02-07

Similar Documents

Publication Publication Date Title
CN1227710C (zh) 用于产生均匀加工速率的方法和装置
JP4869059B2 (ja) アンテナ、プラズマ処理装置および基板の処理方法
CN1309001C (zh) 用来产生均匀的处理速度的方法和装置
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US7776156B2 (en) Side RF coil and side heater for plasma processing apparatus
JP4546735B2 (ja) プラズマ処理装置及び方法
CN1225005C (zh) 用于控制等离子体体积的方法和设备
CN1255851C (zh) 用于等离子体形成内磁桶以控制等离子体体积的设备
KR20080021026A (ko) 조정가능 전극 면적비를 갖는 제한된 플라즈마
KR19980033120A (ko) 유도 안테나를 가지고 플라즈마 이온 밀도의 방사 분포를조절할 수 있는 병렬 플레이트 전극 플라즈마 반응기
IL159935A (en) Method and apparatus for producing uniform process rates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20051116

CX01 Expiry of patent term