ATE394789T1 - Behandlungsvorrichtungen - Google Patents

Behandlungsvorrichtungen

Info

Publication number
ATE394789T1
ATE394789T1 AT00978613T AT00978613T ATE394789T1 AT E394789 T1 ATE394789 T1 AT E394789T1 AT 00978613 T AT00978613 T AT 00978613T AT 00978613 T AT00978613 T AT 00978613T AT E394789 T1 ATE394789 T1 AT E394789T1
Authority
AT
Austria
Prior art keywords
plasma processing
processing chamber
chamber
plasma
disclosed
Prior art date
Application number
AT00978613T
Other languages
English (en)
Inventor
Andrew Bailey
Alan Schoepp
David Hemker
Mark Wilcoxson
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of ATE394789T1 publication Critical patent/ATE394789T1/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Glass Compositions (AREA)
  • Chemical Treatment Of Metals (AREA)
  • Materials For Photolithography (AREA)
  • Chemical Vapour Deposition (AREA)
  • Arc Welding In General (AREA)
AT00978613T 1999-11-15 2000-11-14 Behandlungsvorrichtungen ATE394789T1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US44079499A 1999-11-15 1999-11-15

Publications (1)

Publication Number Publication Date
ATE394789T1 true ATE394789T1 (de) 2008-05-15

Family

ID=23750210

Family Applications (1)

Application Number Title Priority Date Filing Date
AT00978613T ATE394789T1 (de) 1999-11-15 2000-11-14 Behandlungsvorrichtungen

Country Status (10)

Country Link
US (2) US20040011467A1 (de)
EP (1) EP1230664B1 (de)
JP (1) JP2003514388A (de)
KR (1) KR100751740B1 (de)
CN (1) CN1251293C (de)
AT (1) ATE394789T1 (de)
AU (1) AU1606101A (de)
DE (1) DE60038811D1 (de)
TW (1) TW494434B (de)
WO (1) WO2001037314A1 (de)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10010126C2 (de) * 2000-03-03 2002-10-10 Cobes Gmbh Nachrichten Und Dat Verfahren und Vorrichtung zum Plasmabehandeln der Oberfläche von Substraten durch Ionenbeschuß
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2002008996A (ja) * 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
KR100403616B1 (ko) * 2001-01-03 2003-10-30 삼성전자주식회사 플라즈마 장치에 의한 플라즈마 처리 공정의 시뮬레이션방법
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
US6756313B2 (en) 2002-05-02 2004-06-29 Jinhan Choi Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
KR100486724B1 (ko) * 2002-10-15 2005-05-03 삼성전자주식회사 사행 코일 안테나를 구비한 유도결합 플라즈마 발생장치
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
FR2880027B1 (fr) * 2004-12-23 2007-04-20 Innovative Systems & Technolog Procede de traitement d'un materiau polymere, dispositif pour la mise en oeuvre de ce procede et utilisation de ce dispositif au traitement de corps creux
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20070112781A1 (en) * 2005-11-17 2007-05-17 Mcmullen Cindy System and method for providing search controls in a communities framework
US7858514B2 (en) * 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides
KR20110020702A (ko) * 2009-08-24 2011-03-03 한국기초과학지원연구원 균일한 대면적 마이크로웨이브 플라즈마 발생원을 위한 영구자석 장착형 안테나
WO2011025143A2 (ko) * 2009-08-24 2011-03-03 한국기초과학지원연구원 플라즈마 발생용 마이크로웨이브 안테나
GB2491447B (en) * 2010-03-24 2014-10-22 Murata Manufacturing Co RFID system
WO2012073449A1 (ja) * 2010-11-30 2012-06-07 キヤノンアネルバ株式会社 プラズマ処理装置
US20130240147A1 (en) * 2012-03-19 2013-09-19 Sang Ki Nam Methods and apparatus for selectively modulating azimuthal non-uniformity in a plasma processing system
JP6248562B2 (ja) * 2013-11-14 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6373707B2 (ja) * 2014-09-30 2018-08-15 株式会社Screenホールディングス プラズマ処理装置
KR101673240B1 (ko) * 2014-11-13 2016-11-07 주식회사 에이치시티엠 모바일 기기용 영구자석 구조물
CN104505327B (zh) * 2014-12-19 2018-03-27 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
JP6788680B2 (ja) * 2016-09-28 2020-11-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
CN110536530A (zh) * 2018-09-20 2019-12-03 北京北方华创微电子装备有限公司 磁增强法拉第屏蔽结构及感应耦合等离子体源
JP2022501833A (ja) * 2018-10-05 2022-01-06 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ
CN114836735B (zh) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3569777A (en) * 1969-07-28 1971-03-09 Int Plasma Corp Impedance matching network for plasma-generating apparatus
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
KR920002864B1 (ko) * 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) * 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0791660B2 (ja) * 1989-08-30 1995-10-04 株式会社日立製作所 環境遮断用耐熱壁を備えた地上機器
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5356661A (en) * 1990-11-21 1994-10-18 Sumitomo Electric Industries, Ltd. Heat transfer insulated parts and manufacturing method thereof
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH05267237A (ja) * 1992-03-23 1993-10-15 Nippon Telegr & Teleph Corp <Ntt> プラズマ・ダメージ低減法およびプラズマ処理装置
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5399253A (en) * 1992-12-23 1995-03-21 Balzers Aktiengesellschaft Plasma generating device
JPH06251896A (ja) * 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6227235B1 (en) * 1996-06-24 2001-05-08 Johannes Nikolaus Laing Temperature regulated hot water recirculation system
US5707452A (en) * 1996-07-08 1998-01-13 Applied Microwave Plasma Concepts, Inc. Coaxial microwave applicator for an electron cyclotron resonance plasma source
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6228781B1 (en) * 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5795451A (en) * 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6051151A (en) * 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6085688A (en) * 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
EP1068632B1 (de) * 1998-03-31 2006-11-15 Lam Research Corporation Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
JPH11297673A (ja) * 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6254966B1 (en) * 1998-08-04 2001-07-03 Victor Company Of Japan, Ltd. Information recording mediums, supporter used in the mediums, manufacture methods of the supporter, manufacturing apparatus of the supporter and stampers for producing the mediums
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6153977A (en) * 1999-04-06 2000-11-28 Tokyo Seihinkaihatsu Kenkyusho ECR type plasma generating apparatus
US6228782B1 (en) * 1999-05-11 2001-05-08 Advanced Micro Devices, Inc. Core field isolation for a NAND flash memory
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma

Also Published As

Publication number Publication date
US20060011583A1 (en) 2006-01-19
DE60038811D1 (de) 2008-06-19
KR20020053080A (ko) 2002-07-04
CN1423824A (zh) 2003-06-11
AU1606101A (en) 2001-05-30
US20040011467A1 (en) 2004-01-22
EP1230664B1 (de) 2008-05-07
TW494434B (en) 2002-07-11
EP1230664A1 (de) 2002-08-14
KR100751740B1 (ko) 2007-08-24
CN1251293C (zh) 2006-04-12
JP2003514388A (ja) 2003-04-15
WO2001037314A1 (en) 2001-05-25

Similar Documents

Publication Publication Date Title
ATE394789T1 (de) Behandlungsvorrichtungen
WO2003096747A3 (en) Plasma heating apparatus and methods
WO2004001804A3 (en) Device for generation of reactive ions
TW253849B (de)
AU9335001A (en) Twin plasma torch apparatus
TW283250B (en) Plasma enhanced chemical processing reactor and method
EP0693769A3 (de) Plasma Reaktor mit erhöter Plasmahomogeneität durch Gaszugabe, reduzierter Kammer-Durchmesser und reduzierter Durchmesser des RF Scheibenhalters
WO2005124827A3 (en) Improved method and apparatus for the etching of microstructures
TW200629389A (en) Method for treating a substrate
AU2003219092A1 (en) Fuel combustion device
WO2004030020A3 (en) Upper electrode plate with deposition shield in a plasma processing system
HK1088046A1 (en) Thin film forming device
WO2000058995A3 (en) Apparatus for improving plasma distribution and performance in an inductively coupled plasma
SE9801190D0 (sv) A method and a device for epitaxial growth of objects by Chemical Vapour Deposition
WO2001093315A3 (en) Methods and apparatus for plasma processing
AU5995498A (en) Medical apparatus for generating an ionised gas plasma flame
TW200631460A (en) Patterning apparatus, film forming apparatus and electronic apparatus
TW200600609A (en) Method and apparatus for stable plasma processing
AU8018398A (en) Device for generating homogeneous microwave plasmas
EP1278259A3 (de) Brennstoffzelleneinheit
WO2002015236A3 (en) Wafer area pressure control
ATE458261T1 (de) Plasmabehandlungsgerät
AU2230999A (en) High efficiency glow discharge gaseous processing system for hydrogen peroxide production and other chemical processing of gases
WO2003071577A3 (de) Kanalfunkenquelle zur erzeugung eines stabil gebündelten elektronenstrahls
TW200710953A (en) Apparatus and method for plasma processing

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties