TW494434B - Materials and gas chemistries for processing systems - Google Patents

Materials and gas chemistries for processing systems Download PDF

Info

Publication number
TW494434B
TW494434B TW089124189A TW89124189A TW494434B TW 494434 B TW494434 B TW 494434B TW 089124189 A TW089124189 A TW 089124189A TW 89124189 A TW89124189 A TW 89124189A TW 494434 B TW494434 B TW 494434B
Authority
TW
Taiwan
Prior art keywords
plasma processing
patent application
plasma
scope
substrate
Prior art date
Application number
TW089124189A
Other languages
English (en)
Inventor
Andrew D Bailey Iii
Alan M Schoepp
David J Hemker
Mark H Wilcoxson
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW494434B publication Critical patent/TW494434B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Glass Compositions (AREA)
  • Chemical Treatment Of Metals (AREA)
  • Materials For Photolithography (AREA)
  • Arc Welding In General (AREA)
  • Chemical Vapour Deposition (AREA)

Description

494434 A7 B7_____ 五、發明說明(1 ) 發明背景 有關案件之對照參考 本申請書與以下同時提出之美專利申請書有關: 申請書09/439,66 1號,題爲"改良之電漿處理系統 及其方法"(律師案號:LAM1P122/P0527); 申請書09/470,236號,題爲"具有動態氣體分配之 電漿處理系統"(律師案號:LAM1P123/P05 5 7); 申請書09/439,675號,題爲"電漿處理裝置之溫度 控制系統"(律師案號:LAM1P124/P05 5 8); 申請書09/440,4 1 8號,·題爲"產生均勻處理率之方 法及裝置"(律師案號:LAM1P125/P0560); 申請書 09/439,759號,題爲"控制電漿體積之方 法及裝置"(律師案號:LAM1P129/P0593)。 上述專利申請書各列作參考。 發明背景 本發明係有關用以處理基體,諸如用於1C製造之半 導體基體,或用於平板顯示應用上之板(例如玻璃,塑膠 等)之裝置及方法。更明確言之,本發明係有關改良之 電榮處理系統’此能處理基體,在基體表面上具有高度之 處理均勻性。 電漿處理系統已存在多時。多年來,已引進並使用利 用電感交連之電漿源,電子迴旋諧掁(ECR)源,電容源等 之電漿處理系統至不同程度,以處理半導體基體及顯示板 本紙張I度適用中國國家標準(CNS)A4規格(210 X 297公髮) ^ --- --------------裝--- (請先閱讀背面之注意事 項_ 寫本頁) 經濟部智慧財產局員工消費合作社印製 494434 經濟部智慧財產局員工消費合作社印制农 A7 _B7_ __五、發明說明(2) 〇 在普通電漿處理應用中,處理源氣體(諸如鈾刻劑氣 體或沉積源氣體)引進於該室中。然後提供能量’在處理 源氣體中點燃電漿。在電漿點燃後,此由額外能量維持’ 此能量可由各種熟悉之方法,例如電容,電感’經由微波 等交連至電漿。使用電漿於處理工作,例如選擇性蝕刻或 沉積一薄膜於基體上。電漿處理系統通常爲本藝中所熟知 ,且參考文件充滿有關各種市面上可獲得之系統之詳細。 故此,爲簡單起見,此處不詳細討論有關電漿處理之一般 原理。 在基體之處理中,處理工程師奮欲提高之一重要參數 爲處理均勻性。在蝕刻環境中,例如,蝕刻均勻性爲合格 率之一重要決定因素,即高度之蝕刻均勻性有助於提高無 缺陷處理之基體之百分率,此轉譯爲製造者之較低成本。 如該術語在此處所用,蝕刻均勻性指在基體表面上之整個 蝕刻處理之均勻性,包括蝕刻率,微載入,蔽罩選擇性, 下層選擇性,臨界幅度控制,及輪廓特徵,諸如側壁角度 及粗糙度。如蝕刻高度均勻,例如,預期在基體之不同點 處之蝕刻率趨於大致相等。在此情形,基體之一區域較不 可能過度蝕刻,同時其他區域則保持蝕刻不足。而且,在 許多應用,此嚴格之處理要求在基體處理期間中在不同之 階段可能相互衝突。此常由於有多個薄膜需要以大不相同 之電漿處理要求處理之故。例如,在處理一單基體之期間 中,可能需要激烈改變氣體壓力,電漿密度/及化學,以 --------6______ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁)
I I 裝 I - 494434 Α7 Β7 五、發明說明(3) 達成所需之處理性#。 除處理均勻性外,有關處理工程師亦有其他問題存在 。製造者之重要問題中有處理工具之持有成本,此包含例 如獲得及維持該系統之成本,維持一可接受位準之處理性 能所需之室淸潔頻率,系統組成件之燾命等。故此,所需 要之蝕刻處理常爲極力使不同之持有成本及處理參數間正 確平衡之處理,導致在較低成本上之較高品質之處理。而 且,當基體之特色變爲愈小及處理之要求愈高(例如,更 小之臨界幅度,更高之寬高比,更快之產出等),處理工 程師恆尋求新方法及裝置,俾在較低之成本上達成較高品 質之處理結果。 發明槪要 在一實施例,本發明係有關一種用以處理基體之電漿 處理系統,此包含一單室,大致在方位上對稱之電漿處理 室,其內點燃及維持處理用之電漿。電漿處理室無分開之 電漿產生室。電漿處理室具有上端及下端。電漿處理室包 含一材料,此大致不與移送於電漿處理室中之反應性氣體 化學物反應。而且,發表流進於電漿處理室中之反應劑氣 體。 電獎處理系統包含一交連窗置於電漿處理室之上端, 及一 RF天線安排置於由基體所界定之一平面上方,當該 基體置於處理用之電漿處理室內時。電漿處理系統亦包含 一電磁鐵安排,置於由基體界定之該平面上方。電磁鐵安 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------裝--- (請先閱讀背面之注意事項寫本頁) .
經濟部智慧財產局員工消費合作社印製 494434 A7 B7_ 五、發明說明(4 ) 排構造在當至少一直流電流供應至電磁鐵安排中時,導致 電漿處理室內在接近交連窗及天線之區域中之可控制磁場 發生徑向變化。該徑向變化有效影響基體上之處理均勻性 〇 電漿處理系統另包含一 dc電源連接至電磁鐵安排。 該dc電源具有一控制器用以變化至少一直流電流之幅度 ,從而改變電漿處理室內在接近天線之區域中之可控制磁 場之徑向變化,以提高基體上之處理均勻性。 在另一實施例,本發明係有關一種方法,在使用電漿 加強處理法處理基體之期間中用以控制處理均勻性。該方 法包括提供一電漿處理室,具有一單室,大致爲方位對稱 構造,在基體處理之期間中,在其內點燃漿並維持電漿, 電漿處理室無分開之電漿產生室。電漿處理室包含一材料 ,此大致不與移送於電漿處理室中之反應性氣體化學物反 應。而且,發表流進於電漿處理室中之反應劑氣體。 該方法並包括提供一交連窗,置於電漿處理系統之上 端;並提供一 RF天線安排,置於由基體所界定之一平面 上方,當該基體置於處理用之電漿處理室內時。該方法另 包括提供一電磁鐵安排,置於由基體所界定之該平面上方 。該電磁鐵安排構造在當至少一直流電流供應至電磁安排 中時,導致電漿處理室內在接近交連窗及天線之區域中之 可控制磁場中產生徑向變化。該徑向變化有效影響基體上 之處理均勻性。 而且,此包括提供一電源,連接至電磁鐵安排;置基 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) 訂-- 經濟部智慧財產局員工消費合作社印制衣 494434 A7 B7 五、發明說明(5) (請先閱讀背面之注意事項寫本頁) 體於電漿處理室中;流進反應劑氣體於電漿處理室中,自 反應劑氣體擊出電漿;及改變電漿處理室內接近天線之區 域中之該可控制磁場中之該徑向變化,以提高基體上之處 理均勻性。 在又另一實施例,本發明係有關一種用以處理基體之 電漿處理系統,此包含一單室,大致在方位上對稱之電漿 處理室,其內點燃及維持處理用之電漿。電漿處理室無分 開之電漿產生室。電漿處理室具有上端及下端。 電漿處理系統包含一交連窗置於電漿處理室之上端, 及一 RF天線安排置於由基體所界定之一平面上方,當該 基體置於處理用之電漿處理室內時。 並包含一第一 RF電源連接至RF天線,及一第一電 磁鐵安排,置於由基體界定之該平面上方。電磁鐵安排構 造在由該磁鐵安排發出磁場線而導致電漿處理室內在接近 交連窗及天線之區域中之可控制磁場中發生徑向變化。該 徑向變化有效影響基體上之處理均勻性。 經濟部智慧財產局員工消費合作社印製 而且,包含一基體支持安排,構造在處理之期間中支 持基體於電漿處理室內;及一第二RF電源,連接至基體支 持安排。該第二RF電源可與第一 RF電源相互獨立控制 。而且,包含改變裝置,用以改變電漿處理室內在接近天 線之區域中之可控制磁場中之徑向變化,以提高基體上之 處理均勻性。 以下在本發明之詳說明中及連同以下附圖,更詳細說 明本發明之此等及其他特色。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494434 附件二A :第89124189號專利申請案中文說明書修 民國91年5月 A7 B7
五、發明説明(6) 附圖簡述 本發明由實例,而非限制性圖解,其中: 圖1顯示本發明之一實施例之電漿處理系統,包 含一示範之RF天線安排及一示範之上磁鐡安排。 圖2A顯示一些示範磁場線,此可產生於圖1之 室內’以方便電漿處理室內之可控制磁場強度及佈局中 之徑向變化。 圖2B示範顯示當操縱圖1之電漿處理系統之電磁鐵 線圏之dc電流時,可產生之另一徑向分歧磁場佈局。 圖2C顯示可控制磁場強度中之徑向變化之普通佈 局。 圖3 A至3 Η顯示可用於本發明之實施例之本發明 電獎處理系統中之各種 R F天線安排。 圖4Α至4F顯示可用於本發明之實施例之本發明 電漿處理系統中之各種磁場產生安排。 圖5 Α至5 C顯示可用於本發明之實施例之本發明 電漿處理系統中之各種磁桶安排。 圖6A至6C顯示可用於本發明之實施例之本發明 電漿處理系統中之各種磁化圖案。 圖7顯示本發明之實施例之一簡單流程圖,顯示 有關由改變可控制之磁場強度及/或佈局之徑向變化控 制處理均勻性之步驟。 主要元件對照表 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 、\u 口 Γ 經濟部智慧財產局S工消費合作社印製 494434 、發明說明( 1〇0 電漿處理系統 1〇2 RF天線安排 104 上磁鐵安排 106 電獎處理室 108 軸線 110 區域 112 RF電源 114 可變直流電流電源 120 交連窗 122 基體 124 基體支持安排 128 RF電源系統 132 磁桶安排 140 磁場線 144 半徑 請先閱讀背面v 事項 寫本頁) 較佳蹇施例之詳細說明 現參考附圖所不之少數較佳實施例,詳細說明本發明 。在以下說明中,提出許多特定細節,以便澈底明暸本發 明。然而,顯然,對精於本藝之人士,可實施本發明,而 無需一些或所有此等特定細節。在其他情形,並不詳細說 明熟悉之程序步驟及/或結構,俾不致不必要地模糊本發 明。 在一實施例,本發明係有關一種改良之電漿處理系統 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
I 經濟部智慧財產局員工消費合作社印制衣 40- 經濟部智慧財產局員工消費合作社印製 494434 A7 ___Β7_ 五、發明說明(8) ,此能高度控制處理均勻性。示範之改良電漿處理系統包 含一單室,大致在方位上對稱,即與晶圓平面平行之每一 斷面具有一幾乎圓形之電漿處理室,此用以產生電漿並容 納電漿,供處理工作之用。改良之電漿處理系統另包含一 上磁鐵安排及一 RF天線安排,在處理期間中置於基體平 面上方。 RF 天線安排及上磁鐵安排在使電漿處理室內在基體 充分上方之可控制磁場強度及佈局中有重要之徑向變化, 俾達成處理均勻性中之誘導變化,同時確保在基體處之磁 場強度低。在較宜之實施例中,可控制之磁場強度及佈局 中之此徑向變主要在RF天線/真空介面附近之功率交連 區鄰近。可控制之磁場強度及佈局之徑向變化在使其以重 要之方式影響處理均勻性。由刻意設計電漿處理系統,俾 在可控制之磁場強度及佈局中產生能影響處理均勻性之一 徑向變化圖案,及然後提供一方法來改變該徑向變化,提 供一均勻性尺度,使處理工程師能調諧該處理,以改善均 勻性。 在一實例中,可控制之磁場強度及佈局之上述徑向變 化由使RF天線安排與上磁鐵安排非同平面產生。或且或 另外,可控制之磁場強度及佈局之徑向變化可由設置一上 磁鐵安排產生,此包含二或更多電磁鐵線圈,此等可相互 同平面或不同平面。可(但非必需)供應且有相反方向之 dc電流至多個電磁鐵線圈,俾在可控制之磁場強度及佈 局中誘導產生上述之徑向變化。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^ 1 ' ------------裝--- (請先閱讀背面之注意事項'寫本頁) 訂, 494434 A7 B7 五、發明說明(9) 爲製造容易及操作簡單起見,電漿處理室宜構造(唯 不限定於)成一單室,大致在方位上對稱之電漿處理室。 換言之,本發明之電漿處理室宜由一單室構成,此產生並 容納供基體處理用之電漿(且故此,省除去需要用以產生 電漿之另一室),且大致在方位上對稱,以提高均勻性。 例如且並非限制,該室可採取單圓筒形或圓頂形之形狀。 在一較宜之實施例,該室採取單圓筒室之形狀,其中 ,點燃並容納處理工作用之電漿,無需使用分開之電漿產 生室及分開之處理室。 在一示範之改良電漿處理系統中,另設有一磁桶安排 ,其構造在迫使大量之電漿密度梯度離開基體發生。在一 較宜之實施例,磁桶安排置於電漿處理室之周邊周圍。宜 但非必需,磁桶安排包含軸向朝向之多個永久磁鐵在電漿 處理室周邊周圍,在室壁內或外。不管特定之實施如何’ 磁桶安排構造在迫使電漿密度梯度集中於室壁附近,離開 基體。如此,進一步提高均勻性’因爲基體上之電漿密度 梯度之改變最小或大爲降低。與上述磁場強度及佈局之可 控制徑向變化結合,該改良之電漿處理系統中之處理均勻 性提高至遠較許多現行電漿處理系統中所可能爲大之程度 0 磁桶有助於減少電漿在壁上之損失,故此,該示範之 改良電漿處理系統可更有效使用由來源所製造之電漿。故 此,在特定之來源功率’大體可達成較之普通處理系統爲 大之密度,此轉而提供一較寬之處理窗。在許多情形’可 本紙張尺錢ϋ酬家群(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項寫本頁) .
經濟部智慧財產局員工消費合作社印製 494434 A7 B7 五、發明說明(lci 容易達成所需之處理密度,而在示範之改良系統中’普通 需要較少之來源功率,以產生該密度。如精於本藝之人士 可明瞭,來源功率之較低設定有助於降低可能之晶圓損害 機程,並進一步擴大系統之可處理窗。 反應器之電漿分析(諸如根據由壁之Bohm損失平衡 之容積電離者)亦預測在特定功率沉積中電子溫度由減 小電漿損失面積而降低。在一實施例’此由加裝磁桶達成 。電子溫度之此降低通常導致降低晶圓上會傷害處理中之 電子電路之電位。消除此電漿所引起之損壞之根源有助於 大幅改善該工具之操作窗。 經觀察在一些沉積電漿化學中’該桶磁場有助於減少 壁上之總聚合物沉積,從而減少自適用於更大晶圓之室中 所需之更大表面積所預期之室淸潔時間。 晶圓上之平均密度在決定所產生之處理結果上亦佔有 重要地位。由使用磁桶減少電漿在壁上之損失,此處所發 表之均勻控制機構大致不受平均密度之影響。 而且,晶圓處之非常低磁場方便所發表之均勻性控制 發明,此宜由置較高之磁場區於離開晶圓處達成。使用磁 桶有效利用由該來源所製造之密度故此非常有利。 由參考附圖及其後之討論’可更佳明瞭本發明之特色 及優點。圖1顯示本發明之一實施例之電漿處理系統 100, 包含一示範之RF天線安排102及一示範之上磁鐵 安排104。在圖1之例中,RF天線安排102及上磁鐵安 排104顯示置於電漿處理室 106上方。如此後所討論 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) . -線, 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494434 Α7 Β7 五、發明說明(5 ,RF天線安排102及上磁鐵安排104亦可在其他位置。 RF 天線安排 102 顯示連接至一 RF電源 112, 此可供應具有頻率在約0.4MHz至約50MHz範圍中之頻 率之R F能量給R F天線安排1 0 2。該頻率範圍更宜爲約 1 MHz至約30MHz。 在蝕刻用之較宜實施例中,供應至 RF天線安排102之RF頻率宜約爲4MHz。 圖1實施例之上磁鐵安排1 0 4包含二同心磁線圈, 二者攜帶在相反方向上流之dc電流。上磁鐵安排104 顯示連接至一可變直流電流電源1 1 4,其構造在改變供應 至上磁鐵安排1 04之電磁鐵線圈之直流電流之幅度及/或 方向,以改變區域1 1 0中之可控制磁場強度及佈局中之徑 向變化,俾達成供特定處理之基體表面上之所需程度之處 理均勻性。 基體122顯示置於一基體支持安排124上方,此連 接至一偏壓R F電源系統1 2 8,以獨立控制撞擊於晶圓上之 充電微粒之能量。偏壓RF電源1 28可供應具有週期, 但非需爲正弦之RF能量,頻率範圍約0.3MHz至約50 MHz,更宜約2MHz至約30MHz,且宜在約13·5ΜΗζ。基 體1 22代表欲處理之工作件,此可代表例如欲蝕刻,沉積 ,或其他處理之半導體基體’或欲處理而成爲平板顯示器 之顯示板。宜在使用磁桶,但並非絕對必需之一實施例中 ,應良好支持基體於磁桶之最下部份內,以避免電漿處理 混合物中之任何軸向梯度’此可能發生於自磁桶部份至非 磁性部份之過渡區處所設置之變化限制高度附近。製造及 «--- -----------裝--- (請先閱讀背面之注意事項HI寫本頁) . 494434 A7 B7 五、發明說明(1 成本方面可決定處理期間中磁桶之實際範圍及基體之關係 位置。 如顯示於圖1 ’電漿處理室丨0 6顯示具有簡單大體圓 筒形狀。換言之,電漿處理室1 06之用以點燃及維持基 體處理用之電漿之部份宜由一單室構成’唯所討論之技術 可實施於多室反應器。宜無需一分開之電漿產生室’此使 室之製造複雜,並引起額外之電漿輸送問題(例如’需要 機構來適當輸送所產生之電漿自電漿產生室出來而至用以 處理基體之處理室中)。而且,該室在基體上方之大致垂 直壁及簡單之圓筒形狀使室壁更容易製造’較不易沉積微 粒物質(此可剝落,最後污染基體)’及簡化室淸潔問題。 構想壁中之一些曲線雖使反應器之設計複雜,但亦可使用 ,且在室淸潔及處理上可有另外優點。單室,大致在方位 上對稱之電漿處理室之實際設計及形狀可取決於成本,服 務之難易,及特定製造者之可製造性間之平衡。 經濟部智慧財產局員工消費合作社印製 在圖1中,RF天線安排102及上磁鐵安排104爲 非同平面,即此等沿電漿處理室之軸線1 08在空間上偏離 ,俾在電漿處理室內接近RF天線,交連窗/真空介面安 排102之區域1 1 0中,在可控制磁場強度及佈局中誘導 產生一徑向變化。圖2A顯示可產生於圖1之室106內 之一些示範磁場線,以方便電漿處理室內可控制之磁場強 度及佈局中之徑向變化。 現在,可控制之磁場強度及佈局中宜有一重要之徑向 變化,此刻意製造於改良之電漿處理系統中,且刻意構造 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------裝—— (請先閱讀背面之注意事項 f Ϊ +6- 494434 A7 B7 五、發明說明(1 -----------裝--- (請先閱讀背面之注意事項寫本頁) 能對基體表面處之處理均勻性具有重要之影響。例如’圖 2A中之場線140顯示自具有半徑接近室146之半徑 1 4 4之一半之局磁場強度之一環分歧。由提供一機構用以 變化在可控制之磁場強度及佈局中此刻意製造之徑向變化 ,可細微調諧在基體表面上之處理均勻性至較之先行技藝 之電漿處理系統所可能者爲大之程度。 來自B線圈之B磁場範圍爲約0至1,500高斯, 經濟部智慧財產局員工消費合作社印製 更宜爲約0至約200高斯,或最宜爲約0至約50高斯 ,如在窗/真空介面附近所量度者。圖2B示範顯示另一 徑向分歧磁場佈局,此可在操·縱圖1之電磁鐵線圈1 04 中之dc電流時產生。在圖2B之例中,場線自與圖1 相當之軸線上之一高場區分歧。封閉及開放磁通線之確實 佈局可使用圖1之線圈104控制。而且,該等場線角度 之變化範圍及絕對幅度由磁性線圈之確實設計決定。例如 ,磁鐵可爲非同平面所製,以提供場線較之圖1所示者更 •指向軸線之例。最佳之b線圈設計與室直徑,磁桶之存在 及強度,天線,及反應器所設計之電漿處理區相互依賴。 該設計可依據本發明原理決定。徑向變化之典型佈局顯示 於圖2C。 在情形1 000中,B場強度在軸線上具有一單局 部最大,而在情形1 002中,具有在有限半徑上之二對稱 位置之局部最大,及在軸線上之一局部最大。在本發明中 ,此等型式之佈局變化可使用電磁鐵組件控制。 圖1亦顯示一磁桶安排1 32,此包含多個永久磁鐵, 朝軸向圍繞電漿處理室之周邊。如所述,磁桶安排1 32
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494434 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 構造在保持電漿密度梯度離開基體,同時維持一非常小之 磁場在晶圓處。在圖1之示範實施例中,磁桶安排1 3 2 包含3 2永久磁鐵尖頭,其徑向磁化因數在室周圍交替( 例如N,S,N,S,等)。然而,每室之實際尖頭數可不同,視 每一電漿處理系統之特定設計而定。 尖頭數一般可充分高,以確保有一強電獎密度梯度離 開基體。然而,由於損失與室桶之其餘有關,在尖頭處最 高,故太多之尖頭會使密度加強降低。例如,真空·壁介 面處之約15- 1,500高斯之磁場強度可適用於一些處理。真 空-壁介面處之磁場強度可更宜在約100高斯及約1,〇〇〇 高斯之間。在真空-壁介面處之磁場強度宜可約爲800 高斯。應明瞭使用磁桶安排1 02提高晶圓表面上之電漿 均勻性,且可能並非所有處理均需要。然而,如需要高度 之均勻性,則加裝磁桶安排可非常有利。 在較宜之實施例中,RF天線安排宜置於RF交連窗 上方,俾有利於簡化該室及/或RF交連窗及/或磁桶安 排之設計及建造。然而。構想在磁場強度及佈局中所需之 可控制徑向變化亦可由置RF天線安排於室上其他位置中 達成。例如,圖3 A顯示依本發明原理設計之一電漿處理 系統,此具有一 RF天線安排1〇2置於交連窗120之周邊 周圍。然而,宜置RF天線安排於由基體所形成之平面上 方,當基體置於處理用之電漿處理室內時。而且,RF天 線應充分接近上磁鐵安排,以方便形成電漿於B場強度及 佈局中之可控制徑向變化之較高B場強度區鄰近。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^~" (請先閱讀背面之注意事項寫本頁) 歡 裝 訂: 線‘ 經濟部智慧財產局員工消費合作社印製 494434 A7 B7_ 五、發明說明(^ 在圖1之示範實施例中,使用具有3D堆疊構造之一 RF天線,以促進方位上之對稱交連,此在基體上需要高 度處理均勻性時甚重要。然而,且應注意此3D堆疊構造 並非所有情形均需要。在許多情形,無需此一天線之固有 方位對稱交連特性(例如,在可接受之均勻性已由並不具 有固有方位對稱交連特性之天線,諸如平面螺旋天線達成 之情形)。可使用之另外RF天線安排之例可見之於圖3B( 平面螺旋線圈),圖3C(具有不同環數之RF線線圈, 各可具有不同之厚度),圖3D (具有垂直安排之不同環數 之RF天線線圈),圖3E(包含多個個別驅動天線之RF 交連源)及圖3F(圓頂天線,此可爲所示之單線圈,或可 包含一起驅動或具有多個獨立電源之多個線圈)。而且, RF天線安排可具有不同型式之其他非軸線包圍構形,諸如 D形,多天線等。 有關所用之RF頻率,作爲一般準則,較低之RF頻 率,例如<1 3MHz及在此等工具上所見之普通介質常數及 物理尺寸有助於使天線之任何固有方位不對稱交連特性較 不顯著。故此,較寬之天線行列構形可使用於較低之RF 頻率上。例如,當RF頻率低,例如4MHz時,可使用平 面螺旋天線,俾在改良之電漿處理室中達成高品質之蝕刻 。 在較高之RF頻率,例如13MHz及以上,天線之固有 方位不對稱交連特性可更顯著,且可負面影響處理均勻性 。故此,在其交連特性上爲固有方位不對稱之天線(例如 ,簡單之螺旋天線)可不適用於需要高度處理均勻性之 "1 〇 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注咅?事項^寫本頁} FI裝 =° 經濟部智慧財產局員工消費合作社印製 494434 A7 B7 五、發明說明(巧 一些處理上。在此等情形’可保証使用具有固有方位對稱 交連特性之天線。 在較宜之實施例中’ RF天線安排宜與電磁線圈’電獎 處理室,及基體同軸。然而’此並非絕對必需。在無需由 同軸RF天線安排提供高度處理均勻性之一些處理’或在 使用不對稱泵之室中,R F天線安排可偏離此同軸構形’以 對付室設計之不對稱。然而,刻意引進可控制徑向變化之 可控制磁場強度及佈局,及控制該可控制之磁場強度及佈 局中之此徑向變化之能力’以細微調諧基體表面上之處理 均勻性仍爲所需要。 至於RF天線安排之大小’ RF天線安排之大小普通宜 (但非絕對必需)小於室之橫斷面,以保持電漿集中於基 體上方之區域中,並防止電漿過度擴散至室壁,此不利地 需要更多之功率來操作電漿處理系統,並增加壁腐蝕。在 圖1之示範改良之電漿處理系統中,磁桶安排置於室外 ,RF天線安排之足印宜保持於由磁桶安排所界定之區域內 ,以減少電漿擴散至室壁。如磁桶安排置於室內(多個磁 鐵結構接近內壁,或一磁鐡結構置於基體軸線附近’以推 壓電漿密度梯度向室壁之任一形態),RF天線安排之足 印宜保持於接近室壁之高電漿密度梯度區內。 在圖1中,RF交連窗顯示爲平面形。然而’構想該 RF交連窗亦可具有其他形狀,諸如在示範之圖3 A中’交 連窗材料亦向下延伸,以形成一帽,或在不範之圖3 G中 ,窗爲圓頂形。圖3H顯示圓頂窗與圓頂天線合倂。注意 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------I--I--__I (請先閱讀背面之注意事項寫本頁) .- 494434 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(17) RF交連窗之半徑無需等於電漿處理室之半徑。 在較低之R F頻率(例如低於約1 3 Μ Η z ),天線及電漿 間之電容交連減小,此減小交連窗1 3 0之轟擊。由減小 轟擊,可省除需要一半導體窗或使用法拉弟屏罩。事實上 ,構想父連窗1 3 0可宜由介質材料,諸如S i C,或 AlxNy, 或SixNy構成,此亦可與SiC黏合,使交連窗可 更與室內之電漿環境相容。 圖1亦顯示一上磁鐵安排,此包含二同心之電磁線圈 。然而,應注意亦可設置多於二電磁線圈,尤其是如需要 精細程度之均勻性控制。雖此多線圈構形較宜,因其能在 可控制之磁場強度及佈局中製造所需之徑向變化,即使當 電磁線圈安排及RF天線安排爲同平面時亦然,然此並非 絕對需要。如所提,當電磁線圈安排及RF天線安排沿室 之軸線上非同平面時,亦可獲得在可控制之磁場強度及佈 局中之此徑向變化,而不管所含之線圈數。 一般言之,徑向分歧之磁場佈局可由改變供應至電磁 線圈中之dc電流之幅度及/或方向加以變化。如有多個 電磁線圈涉及,如在圖1之例中之情形,可操縱供應至有 關之多個電磁線圈中之一或更多直流電流之幅度及/或方 向,俾在基體表面上具有所需之均勻性影響。當然,亦可 依其他方法操縱可控制之磁場強度及佈局中之徑向變化。 例如,亦可由實體移動上磁鐵安排,使其繞與1 〇 8不同之 一軸線轉動,使其沿室軸線移動,使其在同平面中移動’ 及/或使上磁鐵安排傾斜,變化徑向變化之磁場件局。作 ----2Θ--- -----------裝--- (請先閱讀背面之注意事項ϋΐ寫本頁) 訂:
A 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494434 A7 B7__ 五、發明說明(1 爲另一例,磁場線圈周圍之磁通板材料之引進及移動亦可 調整磁場佈局。 由於由操縱磁場強度及佈局(此主要產生於 RF天 線安排 1 02附近之區域1 1 0鄰近)之徑向變化方便均勻 性控制,故基體及上磁鐵安排間之距離爲一重要參數。此 乃由於磁場佈局之徑向部份之改變亦影響軸向部份之故。 在處理基體之期間中,在基體體表面上之磁場強度應相當 弱(即低於約15高斯),及在R F天線安排附近之軸向 位置應強(例如,真空-窗介面附近約1 5高斯至約200 高斯)。如場強度在晶圓鄰近之太大空間中太低,則電漿 幾乎接近不設置均勻性控制機構而可獲得之擴散輪廓。雖 此擴散輪廓在反應器之設計處理窗內之一些區域可能充分 均勻,但具有磁場強度及佈局中之可控制徑向變化有關之 優點大爲減小。 經濟部智慧財產局員工消費合作社印製 -------------- (請先閱讀背面之注意事項寫本頁) 基於此考慮,該距離應充分小,俾當改變徑向變化之 磁場強度及佈局時,可操縱處理均勻性。然而,該距離不 應過度小,以免由於晶圓中流過之電流之改變,或在處理 中之晶圓上之蝕刻特色之改變(由處理期間中晶圓處之過 度磁場所引起或加強)而導致基體受損。而且,如來源 至晶圓距離太小,則軸向擴散開始主宰處理均勻性。故此 ’控制均勻性所需之徑向變化尺長度變爲接近欲控制之非 均勻性之大小。此導致較非最佳之磁性設計,因爲磁場強 度及佈局中之局部徑向變化數增加,且需要控制精確度。 換言之’如室太小,其實際疆界控制處理均勻性至一程度 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^ ~ 494434 A7 _______ B7 五、發明說明() ,即本發明原理之應用雖仍可行,但迫使設計過度複雜, 且所需之均勻性控制及前述其他真實燾命考慮,即成本, 可靠性等之間較非最佳之平衡。 在較宜之實施例,基體及上磁鐵安排間之距離在一些 情形可由試驗決定,考慮可控制之磁場佈局之徑向分歧部 份及軸向部份之強度,及當dc電流供應至電磁線圈安排 ,以調諧處理均勻性時,避免基體過度受損之需要。在一 示範實施例,對設計用以處理具有直徑小於約470mm之半 導體基體之基體處理室,適當之該距離爲20cm。 在圖1之實施例’上磁鐵安排顯示置於RF交連窗上 方。然而,此並非絕對需要。圖4A顯示一實施例,其 中’至少一線圈104a置於室106之周邊周圍。圖4A之 實施例亦顯示使用亦非相互同平面之二線圈。雖此實施例 可適當使用,但任一需求(多線圈或非同平面)均非絕對 必需。注意在圖4A中,線圈可視需要置於窗130上方 或下方。然而,線圈宜置於基體平面上方,並接近RF天 線,俾有效影響所製造之磁場強度及佈局中之徑向變化。 在較宜之實施例中,上磁鐵安排與天線,該室,及基 體同軸,以簡化電漿雲與基體對齊。然而,在一些電漿處 理室,例如使用非對稱泵者,或需要更複雜之磁場佈局者 ,構想上磁鐵安排宜偏離室軸線及/或基體軸線,以改 善處理結果。此等實施例之一顯示於圖4B,在此,線圈 104a及104b之至少之一偏離室軸線。同樣,雖磁鐵線 圈顯示爲平面形,但此並非絕對必需,且構想圓頂或其他 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) 裝 . 經濟部智慧財產局員工消費合作社印製 494434 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(q 3D形線圈,諸如圖4C所示者可使用,例如,以對室內之 磁場佈局另加整形。 而且’無需線圈直接覆蓋天線。例如,在圖4D之實 施例中’電磁線圈l〇4b顯示覆蓋RF天線402。然而,在 圖4E之實施例中,情形並非如此。 而且’雖上磁鐵安排之較宜實施例爲電磁線圈,但構 想亦可使用充分強之永久磁鐵安排,例如由NdFeB或 B m C 〇族磁性材料所製者,以刻意製造上述磁場佈局中之 徑向分歧。在永久磁鐵實施之情形,在磁場佈局中所產生 之徑向分歧可由如下改變,實際移動上磁鐵安排之部份, 及/或設置適當之結構或磁性電路元件,例如置具有高導 磁率之一結構’作爲有效磁路於上磁鐵安排及交連窗之間 ’以適當改變磁場線。同樣,可聯合使用鐵磁元件及電磁 鐵。 在圖4 F之貫施例中,額外磁場產生器安排丨〇 4 C亦 可置於由基體所形成之平面下方,在室外或室內,以減小 磁場強度及/或進一步補償基體表面處之磁場強度及/或 佈局之殘留變化。在此情形,可降低由於上磁鐵安排所產 生之磁場之覆蓋強軸向部份所引起之基體之可能受損。 雖在較宜實施例中顯示簡單性及對稱性,但預期由並 非圓形斷面(例如六角或方形或其他幾何形狀),或具有軸 線並非平行於主系統軸線之電磁線圈亦可達成同樣之均勻 性控制變化。 在圖1之示範電漿處理系統中,真空室,氣體泵, (請先閱讀背面之注意事項3'寫本頁) i 裝 ·
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 〇〇 經濟部智慧財產局員工消費合作社印製 494434 A7 B7 五、發明說明(2l) 桶形磁場,天線’及電獎處理室之磁鐵線圈宜與該室本身 ,RF天線安排,及基體之軸線同軸。雖此實施特別有利 ,因其最佳利用普通基體處理及擴散特性之固有對稱’但 可有些情形,其中,真空室及磁性設計宜不與室軸線’ RF 天線安排’及/或基體同軸。例如.,一些電獎處理系統可 實施非對稱泵。在此等情形,由設計真空室及/或磁性 設計之特定程度之不對稱,以改正通過該室之氣體流之不 對稱,可提高均勻性。 而且,RF天線之足印無需大於上磁鐵安排之足印。 即是,上磁鐵安排之橫斷面無需小於R F天線之橫斷面。 RF天線安排及上磁鐵安排之相對大小可依需要設計,只 要二者構造可在處理室內鄰近電漿/窗介面處之磁場強度 及佈局中產生可控制之徑向變化即可。 如含有磁桶安排,如在較宜實施例之情形,爲提高一 些動要處理之處理均勻性,磁桶之設計亦重要。一般言之 ,磁桶安排應產生充分強之磁場,以迫使大部份之電漿密 度梯度離開基體,並接近室壁。在電漿處理室內真空/ 壁介面處之磁場強度應較高,例如在1 5高斯及1,500高 斯之間’更宜在約1 〇 0局斯及約1,0 0 0局期之間,及在一 實施例中,約爲800高斯。然而,在基體中心處之磁場 強度應保持低,例如低於約15高斯,且更宜低於約5高 斯。 雖圖1顯示磁桶安排包含磁鐵,此延伸於電漿處理室 之幾乎整個局度,但此並非必需。例如,圖5 A顯示一 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------裝--- (請先閱讀背面之注意事項寫本頁) .- 494434 A7 B7 五、發明說明($ 磁桶安排,其磁鐵1 32並不自室頂端延伸至室底端。一般 言之,永久磁鐵之大小設計宜使磁桶安排所產生之磁場之 軸向梯度在基體表面之高度處保持低。在一實施例,磁桶 安排之磁性部份自基體上方(即室頂附近)延伸至基體平 面下方(例如下方1.5吋)之一位置,以大幅減小由磁 桶安排所產生之磁場線中之軸向梯度,或至最小。 雖此實施例高度有利且較宜,但構想在一些處理,可 使用具有室磁鐵(即磁桶安排之磁鐵)僅置於基體平面之 一面上之電漿處理系統。例如,如處理均勻性需求容許有 磁桶安排所產生之磁場線之一些軸向梯度存在,則室磁鐵 無延伸至基體平面之二面。作爲另一例,可具有二組室 石放鐵’基體平面之二面各有一組(諸如圖5 B中之組1 3 2 及1 8 0 ),以確保由磁桶安排所產生之磁場線之軸向梯度在 基體表面處保持低,同時迫使大部份電漿密度梯度離開基 體’並接近室壁。而且,雖圖1實施例顯示磁桶安排之尖 頭應在真空外’但此並非必需。例如,圖5 B之第二組磁 鐵(參考編號180)顯示在真空內。 而且’雖使用永久磁鐵來實施較宜實施例中之磁桶安 排,但亦可使用電磁鐵來實施該磁桶安排。 如所提’雖本發明之一重要特色爲消除電漿產生室, 但明瞭雖該室可用以點燃,產生,及容納處理用之電漿, 但並無絕對必需保持基體於同一室中。如顯示於圖5 B,可 設置一額外室1 〇6b,以容納保持基體及方便基體輸送之支 座。由使用一單室106a來產生及維持處理工作用之電漿 ^- (請先閱讀背面之注意事項寫本頁) 裝 經濟部智慧財產局員工消費合作社印制衣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494434 A7 B7___ 五、發明說明(^) ,亦解決許多電漿及化學物輸送問題及室壁吸收問題,且 故此,並無絕對需要卡盤安排及排氣徑路亦置於同室中。 換言之,只要進行處理之基體表面曝露於用以產生及維持 處理用之電漿之單室之電漿中,且有一均勻性控制鈕來細 調徑向分歧之磁場佈局,則可達成高度有利之處理結果。 如亦使用一磁桶安排來迫使所產生之電漿之電漿密度梯.度 離開基體表面並朝向室壁,則可達成高度均勻之處理,即 使卡盤安排及整個基體之一部份置於一室,及欲處理之基 體表面曝露於在另一室中供處理用所點燃及維持之電漿中 亦然。 而且,雖桶之磁鐵在方位上(例如沿室之軸線上)對 齊在室周圍交替之徑向磁化圖案,但構想磁化圖案可爲非 交替者。例如,該組磁鐵可分爲多個分組,每一分組可具 有同數或不同數之磁鐵。此可適於應付在特定室中所遭遇 之任何不對稱問題。而且,每一磁鐵之軸線可在徑向以外 之方向上對齊。而且,本實施適於對付在特定室中所遭遇 之任何不對稱問題。 在一特別有利之實施例,可使磁桶之一些或所有磁鐵 在其軸線上轉動,以改變磁化圖案。此實施之一顯示於圖 6C。或且或另外,個別磁鐵之幅度可由實際移動磁鐵,或 改變流過磁鐵之電流量(例如,如涉及電磁鐵)加以改變 〇 而且,雖圖1之實施例顯示磁桶安排之尖頭應爲軸 向,但此並非絕對必需。例如,一些或所有尖頭可構製成 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) · 經濟部智慧財產局員工消費合作社印製 494434 A7 ___B7____ 五、發明說明( 棋盤圖案(例如圖6 A)或方位圖案(例如圖6 B),其中, 各磁鐵可與基體之平面平行設置。在一些電漿處理系統, 此等安排可爲適當,因爲由於一些電漿處理系統之特殊, 此等能確保電漿密度梯度最大程度離開基體。 依據本發明之一實施例,圖7顯示一簡單流程圖, 顯示有關由改變可控制磁場強度及/或佈局之徑向變化控 制處理均勻性之步驟。在步驟702,提供一單室,大致在 方位上對稱之電漿處理室。該單室可用以點燃及維持處理 用之電漿,從而省除去需用一分開之電漿產生室及其有關 之電漿輸送問題。 如上述,需要嚴格控制蝕刻,以達成所需之蝕刻特性 ,諸如選擇性,蝕刻均勻性,蝕刻率,蝕刻輪廓等。達成 對蝕刻處理之嚴格控制之一重要考慮爲用作電漿處理室, 例如步702中所提供之單室之材料。更明確言之,雖非 所願,但電漿處理室之材料常參與蝕刻處理。如非所願之 反應之例,如電漿處理室之左未控制之內表面可與電漿處 理室中所用之反應劑氣體反應,或諸如聚合物之材料可黏 附於處理室之內表面上,在一單晶圓期間中自一晶圓至另 一圓圓變化或不利。在許多應用中使用介質蝕刻處理;常 由蝕刻通過摻雜或不摻雜之氧化物,製造自我對齊接觸, 高寬高比接觸,無界接觸,通道,壕溝等。 最近,已提出大量其他電介質,目的在減小材料之介 質常數。此等在社會上統稱爲低k材料,例如碳或氟摻雜 之氧化物或有機基礎之材料。介質蝕刻在其性質上聚決於 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) 裝 · 經濟部智慧財產局員工消費合作社印製 494434 A7 B7 五、發明說明( 晶圓之演化表面,蝕刻特色之表面,及室表面上之競爭反 應間之非常微妙之平衡。此等反應涉及電漿族類,諸如離 子及電子,所引進之作爲饋送氣體之中性氣體,由電漿激 勵分解’或經由室內之許多物理及化學處理所形成。由於 此等反應之性質,面對電漿之材料之面積,及室材料與晶 圓之接近,電漿處理室之材料特別重要。而且,由於材料 本身在不斷增加之速度上改變,故所需處理之確實性質無 法先行得知。困難之處理度量,諸如晶圓上之蝕刻之臨界 幅度之均勻性尤爲如此。此度量常不獨取決於室設計之細 節,且亦取決於整個系統設計。故此,特別有關本發明之 焦點;需減小電漿處理室之材料與蝕刻處理之反應至最低 程度,以達成可滿足所有蝕刻應用之需求之一處理室。 除減小反應至最低外,用作電漿處理室之材料亦應需 具有中度至高度之熱及電傳導率,並具有強力之機械性質 。在蝕刻處理之各階段之期間中,需控制電漿處理室之溫 度在攝氏幾度內。可提供中度至高度熱傳導率之材料有利 ,因其可有利於控制電漿處理室之溫度。可提供中度至高 度導電率之材料亦有利,因其有利於室之可重複性。接供 充分之接地面積亦有利於電漿穩定性及可重複性。而且, 具有強力機械性質之材料有助益,因該材料接受嚴酷之環 境條件(壓力及溫度及維持活性)。具有此等所需特性之 一材料爲碳化矽(SiC)。 SiC材料具有相當高之導熱率及 中度之導電率。而且,SiC提供良好之機械性,諸如保持 室真空所需之其強度。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 請 先 閱 讀 背 面 之 注 意 事 項 再編 填鬵 J裝 頁· 訂 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 494434 A7 B7__ 五、發明說明( 電漿處理室可爲SiC所製,以方便嚴格控制蝕刻處理 。 如精於本藝之人士所明瞭,整個電將處理室可由SiC 製造。或且,僅電漿處理室之內表面可爲S i C所製,例如 ,可使用S i C塗層,如以後所討論。S i C可由多種方法製 造。需使用純淨形態之SiC,俾不含有明顯之金屬元素量 。如本藝中所知,含有金屬之材料在蝕刻處理期間中曝露 於電漿,會產生金屬污染,對蝕刻處理有不利影響。蝕刻 處理之此污染使電漿處理室不易淸潔,及/或黏著於電 漿處理室之壁上之材料,例如聚合物難以維持均勻之黏著 係數。爲達成蝕刻處理之嚴格控制,污染應降至最低。故 此,SiC爲電漿處理室之非常適用之材料,因其可抵抗蝕 刻處理’且可製成純淨形態。可使用若干方法,以生產純 淨形態之SiC。例如,可使用化學蒸氣沉積(CVD),滑鑄 形成’熱壓及燒結,等靜壓,及燒結形成法,以產生純淨 形態之S1C。 依據本發明之一較宜實施例,電漿處理室(例如步驟 702之單室)爲CVD沉積之SiC所製。CVD技術較宜 ,因其能產生"超純"形態之SiC。純SiC亦爲對所引 進之電漿處理反應劑氣體化學物之蝕刻抵抗劑,方便蝕刻 處理。適當之反應劑氣體化學物討論於下。假使有關生產 CVD沉積之SiC較爲昂貴’則其他形態之SlC在一些蝕 刻處理’例如非介質蝕刻’及/或達成嚴格控制蝕刻參數 並非爲一重要因素之蝕刻處理上,可較符合成本效益。或 且’可提供SiC作爲另一材料上之塗層。例如,SiC可 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) r\r\ (請先閱讀背面之注意事項寫本頁) I!裝 ή^τ· 494434 A7 B7 27 五、發明說明() 施敷於另一材料(例如鋁)上’使用火焰噴灑塗覆或濺散 沉積塗覆或甚至CVD SiC塗覆於另一適當之基體上,諸 如滑鑰之S1C ’石墨,或鋁。而且,s i C或其他適當材料 可黏合於圓筒形室壁所附著之支持裝置上,或直接黏合於 圓筒形支持裝置上。如室之內表面之所需材料不含所有所 需之物理性質,則此法可較優,或可能爲例如較爲成本效 益之實施。 sic亦可製成具有較高之電阻係數。如上述,siC:常 具有中度之導電率。然而’ SiC之導電率可加以管理,俾 可產生具有較高電阻係數之SiC。電漿處理室之一些部份 可爲具有較高電阻係數之SiC材料所製。例如,在使用 射頻(R F)功率晶圓支持機構,例如靜電卡盤(E s c)之電 漿處理系統中,需要具有晶圓支持機構,此能提供較高之 電阻係數’以減小其R F交連至最低。如另一例,如前述 ,構想父連窗1 3 0 (顯示於圖1)可有利地由諸如s i C, AlxNy,或ShNy等介質材料製造。如此,可使用具有較高 電阻係數之SiC來製造電漿處理系統之其他部份,諸如F SC或交連窗,此處需要較高之電阻係數。 雖已討論SiC爲電漿處理室(例如步驟702之單室 )之特別適用之材料,但其他材料亦可用於電漿處理室。 而且,除SiC外之其他材料可用以製造電漿處理系統之一 或更多組成件(例如ESC或交連窗)。例如,電漿處理 室或其組成件之其他適當材料可包括石英,矽,二氧化矽 ,碳,碳化硼,氮化硼。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項>^寫本頁) 裝 訂·· 經濟部智慧財產局員工消費合作社印製 494434 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(巧 應明瞭其他材料可能更適用於一些特別之蝕刻處理。 例如’假定有關生產純淨形態之s i C之較高成本,其他材 料’例如石英,此爲較易且低廉生產之材料,且故此,可 爲對達成蝕刻參數之嚴格控制較有餘地之一些蝕刻處理之 更適合材料。而且,當甚至在嚴格控制方面有較大之幅度 時’電漿處理室內所用之材料可甚至使用鋁,或陽極化鋁 。而且,如精於本藝之人士所知,亦可使用材料合倂之構 造來製造電漿處理室’以及電漿處理系統之其他組成件( 例如ESC或交連窗)。 如上述,在步驟702,設置一單室,大致在方位上對 稱之電漿處理室。繼續圖1之處理,在步驟704 ,設置 RF天線。在步驟706,設置並安排上磁鐵安排,俾RF 天線及上磁鐵安排一起引起受控制之磁場強度及/或佈局 之重要徑向變化,此執行控制晶圓之處理均勻性。 在步驟708,置基體於處理用之電漿處理室內。在步 驟7 1 0,反應劑氣體(例如沉積源氣體或蝕刻劑源氣體) 流進電漿處理室中,並由其點燃電漿。多種氣體化學物可 用作反應劑氣體,此等流進電漿處理中。可選擇此等氣體 化學物,用於特定之蝕刻處理上,及/或考慮若干其他因 素。此等因素之例包括電漿處理室之材料,蝕刻處理之型 式,或電漿處理系統之其他組成件。一般言之’依據本發 明之實施例,可使用已FyHz〇、v形態(其中,X, y,z,及 W爲整數,且其中,Z及/或W可爲零,或其中,y及 /或w可爲零)之氣體組合與一或更多〇2,N2, c〇, (請先閱讀背面之注意事項寫本頁) i 裝 ·- 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公爱) 494434 A7 B7 五、發明說明(^ C〇2及SF6,NF3,NH?,Cl2或HBr氣體連合,用於介質 蝕刻處理。而且,其他氣體可加於上述化學物中,諸如H e ’ Ne,Ar,Kr,Xe可用作稀釋劑或沉積先驅齊!J。例如, CxFyHz〇w形態之氣體組合可包括氟碳化物(CxFy)及/或氫 氟碳化物(CNHyFz)氣體,此與其他氣體之混合物合倂。 可用作反應劑氣體化學物之氣體之代表性組合提供於下表 1中。 (請先閱讀背面之注意事項寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494434 A7 _B7_ 五、發明說明(3C] 表1反應劑氣體化學物_ c5f8 +cf4+chf3+ch2f2 C4F8 +CF4+CHF3+CH2F2 C4F6 +CF4+CHF3+CH2F2 C3F6 +CF4+CHF3+CH2F2 C2F6 +GF4+CHF3+CH2F2 C2 HFs +CF4+CHF3+CH2F2 C5F8 +CF4+CHF3+C2H2F4 C4F8 +CF4+CHF3+C2H2F4 C4F6 +CF4+CHF3+C2H2F4 C3F6 +CF4+CHF3+C2H2F4 C2F6 +CF4+CHF3+C2H2F4 C2HF5 +CF4+CHF3+C2H2F4 C5F8 +CHF3+C2HF5+CH2F2 C4F8 +CHF3+C2HF5+CH2F2 C4F6 +CHF3+C2HF5+CH2F2 經濟部智慧財產局員工消費合作社印製 c3f6 +chf3+c2hf5+ch2f2 c2f6 +chf3+c2hf5+ch2f2 CF4 +CHF3+C2HF5+CH2F2 如前述,〇2,N2,C〇,C〇2,及SF6氣體之一或更多 亦可提供於用作反應劑氣體化學物之氣體組合中。在此, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------裝--- (請先閱讀背面之注意事項寫本頁) -ga- 494434 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) A7 ___BT^_
五、發明說明(I 〇2, N2, C〇,C〇2 及 SF6,NF3 ’ NH3 ’ Ch 或 HBr 之 一或更多可加於表1所列之反應劑氣體化學物中。而且 ,可加進一·或更多其他氣體’以形成所需之反應劑熟體化 學物,例如,可使用諸如 He,Ne,Ar ’ Κι· ’及 Xe等氣 體作爲稀釋劑’或可加進其他氣體作爲沉積先驅劑。包含 此等其他氣體之氣體代表性組合可例如由一或更多之He ’ N e,A r,K r,及X e與表1所列之氣體化學物之任一合倂 構成。 在步驟7 1 0中移送反應劑氣體至電漿處理室中’及點 燃電漿後,其次,在步驟71 _2 ’調整RF天線鄰近之受 控制之磁場強度及/或佈局之徑向變化至一設定,此提高 基體表面上之處理均勻性。該設定可在處理前先完成至一 預定之設定,或可在電漿處理期間中,由固定設定或由反 饋環路即時完成,以調諧處理均勻性至特定之處理敏感變 數。 如精於本藝之人士可明瞭,本發明可容易以主動,時 間依賴之方式修改,以控制處理均勻性,俾可完全控制較 之無均勻性控制爲廣大之處理區域上之晶圓處理。例如, 預期可使用較廣大範圍之電漿密度(例如約109至約101 離子/cm3)於本發明電漿處理系統中,因爲磁桶使源能量 可非常有效用以產生及維持電漿,及上磁鐵安排使處理均 勻性控制可維持於整個電漿密度範圍。同樣,預期可成功 使用較廣大範圍之壓力(例如<lmT至約lOOmT)於處理 基體上’因爲磁桶使電漿可在較低壓力上維持。如此,可 ^4- ----------,—裝--- (請先閱讀背面之注意事項寫本頁) 訂: 494434 A7 B7 _ 五、發明說明(^ 使用一單反應器於具有廣大處理窗之不同處理上,此使處 理工程師具有先行技藝之電漿處理系統所無之彈性自由。 (請先閱讀背面之注意事項寫本頁) 而且,構想可使用一適當之反饋機構,以即時監視基 體上之處理均勻性,且亦即時修改受控制之磁場強度及佈 局中之徑向變化,俾達成所需之最佳處理均勻性結果。或 且或另外’可撥動受控制之fe;場強度及佈局中之徑向變化 至不同之設定,俾對特定蝕刻處理中之不同蝕刻步驟達成 正確之均勻性控制。注意此等設定可使用或不用反饋機構 執行,且可在蝕刻通過一單薄膜,或蝕刻自一薄膜進行至 另一薄膜之期間中執行。在不用反饋機構之情形,可事先 經由試驗或其他確定各步驟之適當均勻性設定,並在蝕刻 期間中使用。 雖已以若干較宜實施例說明本發明,但在本發明之範 圍內可有改變,變化,及等效者。例如,雖在整個較宜實 施例中使用蝕刻,以簡化討論,但應明瞭該均勻性控制應 適用於任何半導體處理程序,例如沉積。故此,以下申請 專利範圍應解釋爲包括在本發明之精神及範圍內之所有此 等改變,交換,及等效者。 經濟部智慧財產局員工消費合作社印制衣 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 494434 ABICD 尸/年广月”日
    補充 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 附件一 A:第8 9 1 2 4 1 8 9號專利申請案 中文申請專利範圍修正本 民國9 1年5月修正 1. 一種用以處理基體之電漿處理系統,包含: 一電漿處理室,其內點燃及維持處理用之電漿,電獎 處理室具有上端及下端,電漿處理室包含大致不與移送於 電漿處理室中之反應氣體化學物反應之材料; 一交連窗,置於電漿處理器之上端; 一 RF天線安排,置於由基體界定之一平面上方, 當該基體置於處理用之電漿處理室內時; 一電磁鐵安排,置於由基體界定之該平面上方,電磁 鐵安排構造在當至少一直流電流供應至電磁鐵安排中時, 導致電漿處理室內在接近交連窗及天線之區域中之受控制 之磁場發生徑向變化,該徑向變化有效影響基體上之處理 均勻性; 一 dc電源,連接至電磁鐵安排,該 dc電源具有 一控制器用以變化至少一直流電流之幅度,從而改變電漿 處理室內在接近天線之區域中之受控制之磁場之徑向變化 ,以提高基體上之處理均勻性。 2. 如申請專利範圍第1項所述之電漿處理系統, 其中,電漿處理室包含一內表面,及電漿處理室之至少該 內表面爲大致不與流進電漿處理室中之反應性氣體化學物 反應之材料所製。 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 494434 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 3. 如申請專利範圍第 2項所述之電漿處理系統, 其中,電漿處理室之該材料選自碳化矽,石英,矽,二氧 化矽,碳,碳化硼,及氮化硼所組之材料群中。 4. 如申請專利範圍第1項所述之電漿處理系統, 其中,電漿處理室完全爲大致不與移送於該單室中之反應 性氣體化學物反應之材料所製。 5. 如申請專利範圍第 4項所述之電漿處理系統, 其中,電漿處理室之該材料選自碳化矽,石英,矽,二氧 化矽,碳,碳化硼,及氮化硼所組之材料群中。 6. 如申請專利範圍第1項所述之電漿處理系統, 其中,電漿處理室之該材料爲碳化矽。 7. 如申請專利範圍第 6項所述之電漿處理系統, 其中,電漿處理室之碳化矽選自由化學蒸氣沉積(CVD) ,滑鑄形成,熱壓及燒結,等空間加壓及燒結形成之碳化 矽所組之材料群中。 8. 如申請專利範圍第1項所述之電漿處理系統, 其中,電漿處理室之碳化矽爲化學蒸氣沉積(CVD)法沉 積之碳化矽。 9. 如申請專利範圍第 2項所述之電漿處理系統, 其中,電漿處理室之該材料爲一塗覆材料。 1〇.如申請專利範圍第 2項所述之電槳處理系統, 其中,電漿處理室之該材料爲一塗覆之碳化矽。 1 i.如申請專利範圍第 2項所述之電漿處理系統, 其中,構成電漿處理室之內表面之材料由黏合於室壁之 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 2 - (請先閱讀背面之注意事項再填寫本頁) ,裝· 、1T .JI 494434 A8 B8 C8 ---^_ 08__ 六、申請糊範^ ' ~~ 適當材料所構成之一黏合組合所提供。 1 2·如申請專利範圍第11項所述之電漿處理系統 ’其中·,該黏合組合由導電性或導熱性黏著劑黏合。 1 3,如申請專利範圍第i1項所述之電漿處理系統 ’其中’該黏合組合構造在可靠地形成電漿接地之一重大 部份。 14.如申請專利範圍第11項所述之電漿處理系統 ’其中’該黏合組合由黏合於室壁上之適當材料之若干節 段或碍構成。 1 5.如申請專利範圍第11項所述之電漿處理系統 ’其中,該適當材料爲碳化矽。 1 6.如申請專利範圍第2項所述之電漿處理系統, 其中’構成電漿處理室之內表面之材料由黏合於一支持 裝置上之適當材料所構成之黏合組合提供,支持裝置附著 於室壁上。 1 7 .如申請專利範圍第1 6項所述之電漿處理系統 ’其中,該黏合組合由導電性或導熱性黏著劑黏合。 1 8 .如申請專利範圍第1 6項所述之電發處理系統 ’其中’该彡占合組合構造在可罪地形成電駿接地之一.電大 部份。 1 9 .如申請專利範圍第1 6項所述之電漿處理系統 ’其中,該黏合組合由若干節段或碍構成。 20·如申請專利範圍第1 6項所述之電漿處理系統 ,其中,該適當之材料爲碳化矽。 本度適用中國國家標準(CNS ) A4規格(21〇X297公釐) TTI " (請先閱讀背面之注意事項再填寫本頁) -訂 經濟部智慧財產局員工消費合作社印製 494434 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 夂、申請專利範圍 21·如申請專利範圍第 2項所述之電漿處理系統, 另包含: 一基體支持裝置,其構造在處理期間中支持基體,其 中,構成反應器之內表面部份之該基體支持裝置爲一材料 所製,此較之電漿處理室之材料之電阻爲高。 22.如申請專利範圍第 21項所述之電漿處理系統 ,其中,基體支持裝置之材料選自碳化矽,石英,矽,二 氧化矽,碳,碳化硼,氮化硼,及陽極化鋁所組之材料群 中〇 23 ·如申請專利範圍第 2 1項所述之電漿處理系統 ’其中,基體支持裝置之材料爲碳化砂。 24.如申請專利範圍第1項所述之電漿處理系統, 其中,基體代表半導體晶圓。 25 ·如申請專利範圍第1項所述之電漿處理系統, 其中,基體代表用於平板顯示器製造中之玻璃或塑膠板 〇 26.如申請專利範圍第1項所述之電槳處理系統, 其中,該處理包含蝕刻該基體。 27 · —種用以處理基體之電漿處理系統,包含: 一電漿處理室,其內點燃及維持處理用之電漿,電獎 處理室具有上端及下端,電漿處理室之至少一內表面爲選 自碳化矽,石英,矽,二氧化矽,碳,碳化硼,及氮化硼 所組之材料群中之一材料所製; 一交連窗,置於電漿處理器之上端; (請先聞讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公着) -4- 494434 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 一· RF天線安排,置於由基體界定之一平面上方, 當該基體置於處理用之電漿處理室內時; 一電磁鐡安排,置於由基體界定之該平面上方,電磁 鐡安排構造在當至少一直流電流供應至電磁鐡安排中時, 導致電漿處理室內在接近交連窗及天線之區域中之受控制 之磁場發生徑向變化,該徑向變化有效影響基體上之處理 均勻性;及 一 d c電源,連接至電磁鐡安排,該 d c電源具有 一控制器用以變化該至少一直流電流之幅度,從而改變電 漿處理室內在接近天線之區域中之受控制之磁場之徑向變 化,以提高基體上之處理均勻性。 2 8. —種用以處理基體之電漿處理系統,包含: 一電漿處理室,其內點燃及維持處理用之電漿,電漿 處理室具有上端及下端,電漿處理室之至少一內表面爲碳 化矽所製; 一交連窗,置於電漿處理器之上端; 一 RF天線安排,置於由基體界定之一平面上方, 當該基體置於處理用之電漿處理室內時; 一電磁鐵安排,置於由基體界定之該平面上方,電磁 鐡安排構造在當至少一直流電流供應至電磁鐵安排中時, 導致電漿處理室內在接近交連窗及天線之區域中之受控制 之磁場發生徑向變化,該徑向變化有效影響基體上之處理 均勻性;及 一 dc電源,連接至電磁鐵安排,該 dc電源具有 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 5 _ (請先閲讀背面之注意事項再填寫本頁) 494434 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 一控制器用以變化該至少一直流電流之幅度,從而改變電 漿處理室內在接近天線之區域中之受控制之磁場之徑向變 化,以提高基體上之處理均勻性。 29. 如申請專利範圍第 28項所述之電漿處理系統 ,其中,該交連窗具有至少一表面爲碳化矽所製。 30. 如申請專利範圍第 28項所述之電漿處理系統 ,其中,電漿處理室之碳化矽選自由化學蒸氣沉積(CVD ),滑鑄形成,熱壓,燒結,等空間加壓,及燒結形成之 碳化矽所組之材料群中。 3 1. —種在使用電漿加強處理法處理基體之期間中用 以控制處理均勻性之方法,包括: 提供一電漿處理室,具有一單室,大致在方位上對稱 之構造,在基體處理之期間中在其內點燃並維持電漿,電 漿處理室無分開之電漿產生室; 提供一交連窗,置於電漿處理系統之上端; 提供一 RF天線安排,置於由基體所界定之一平面 上方,當該基體置於處理用之電漿處理室內時; 提供一電磁鐵安排,置於由基體所界定之該平面上方 ,電磁鐵安排構造在當至少一直流電流供應至電磁鐵安排 中時,導致電漿處理室內在接近交連窗及天線之區域中之 受控制之磁場中產生徑向變化,該徑向變化有效影響基體 上之處理均勻性; 提供一 dc電源,連接至電磁鐵安排; 置基體於電漿處理室中; (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6- 494434 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 流進反應劑氣體於電漿處理室中,該反應劑氣體包含 氣體之一組合,其中,包含於反應劑氣體中之氣體組合之 一或更多氣體爲 CxFyHzOw氣體,且其中,x,y,z,及 w爲正整數,唯 z,w,及 y任一可爲零; 自反應劑氣體中擊發電漿;及 改變電漿處理室內接近天線之區域中之該受控制之磁 場中之徑向變化,以提高基體上之處理均勻性。 32. 如申請專利範圍第 31項所述之方法’其 中,反應劑氣體另包含選自〇2, %, CO,CO2 ’ sf6 ,NF3,NH3,Ch,及HBi.所組之氣體群中之一或更多氣 體。 . 3 3.如申請專利範圍第22項所述之方法’其中’ 反應劑氣體另包含選自 He,Ne,Ar,Kr,及 Xe所組 之氣體群中之一或更多氣體。 34. 如申請專利範圍第 21項所述之方法’其中’ 反應劑氣體另包含選自 H e,N e,A r,K1.,及 Xe所組 之氣體群中之一或更多氣體。 經濟部智慧財產局員工消費合作社印製 35. 如申請專利範圍第 21 項所述之方法’其 中, 反應劑氣體包含選自CA,CA,C4F6,, 及 CF4所組之氣體群中之一氣體。 36. 如申請專利範圍第 21 項所述之方法’其 中, 反應劑氣體包含選自GHFs,CaHFs,’ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -7 - 494434 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍C_2H2F4,及 CH2F2所組之氣體群中之一氣體。3 7.如申請專利範圍第 21項所述之方法,其中該 反應劑氣體具有一選自一組氣體群之氣體,該組氣體群包 含: C5F8 +CF4+CHF3+CH2F2;-C4Fg +CF4+CHF3+CH2F2; C4F6 +CF4+CHF3+CH2F2; C3F6 +CF4+CHF3+CH2F2; C2F6 +CF4+CHF3+CH2F2; C2 HF5 +CF4+CHF3+CH2F2; c5f8 +cf4+chf3+c2h2f4; C4F8 +CF4+CHF3+C2H2F4; C4F6 +CF4+CHF3+C2H2F4; C3F6 +CF4+CHF3+C2H2F4; C2F6 +CF4+CHF3+C2H2F4; c2 hf5 +cf4+chf3+c2h2f4; I c5f8 +chf3+c2hf5+ch2f2; C4F8 +CHF3+C2HF5+CH2F2; C4F6 +CHF3+C2HF5+CH2F2; c3f6 +chf3+c2hf5+ch2f2; c2f6+chf3+c2hf5+ch2f2;及 cf4 +chf3+c2hf5+ch2f2 。38. 如申請專利範圍第 37項所述之方法,其 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) _ 8 _ (請先閱讀背面之注意事項再填寫本頁) 494434 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 中,反應劑氣體另包含選自〇2,N2,C〇,C〇2,及 SF6 所組之氣體群中之一或更多氣體。 39. 如申請專利範圍第38項所述之方法,其中, 反應劑氣體另包含選自 He,Ne,Αι·,Κι·,及 Xe所組 之氣體群中之一或更多氣體。 40. 如申請專利範圍第 37項所述之方法,其 中,反應劑氣體另包含選自〇2, Ν·2,CO,CCh, NF3, NH3, Cl2, 或 HBr及Sh所組之氣體群中之一或更 多氣體。 41. 如申請專利範圍第 37項所述之方法,其中, 反應劑氣體另包含選自 He,Ne,Ai·,Kr,及 Xe所組 之氣體群中之一或更多氣體。 42. 如申請專利範圍第 31項所述之方法,其中, 電漿處理室包含一內表面,及電漿處理室之至少該內表面 爲大致不與流進電漿處理室中之反應性氣體化學物反應之 材料所製。 43. 如申請專利範圍第 42項所述之方法,其中, 電漿處理室之該材料選自碳化矽,石英,矽,二氧化矽, 碳,碳化硼,及氮化硼所組之材料群中。 44. 如申請專利範圍第 3 1項所述之方法,其中, 電漿處理室包含碳化矽。 45. 如申請專利範圍第3 1項所述之方法,其中, 電漿處理室整個爲碳化矽所製。 46. 如申請專利範圍第1項所述之電漿處理系統, 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 9 - (請先閱讀背面之注意事項再填寫本頁) 494434 A8 B8 C8 D8六、申請專利範圍 其中,反應劑氣體流進電漿處理室中,該反應劑氣體包 含氣體之一組合,其中,包含於反應劑氣體中之氣體組合 之一或更多氣體爲已FyHzOw氣體,且其中,x,y,z, 及 w爲正整數,及 z及 w之至少之一可爲零,或 y 及 w 之至少之一可爲零。 47 .如申請專利範圍第 46項所述之電漿處理系統 ,其中,反應劑氣體另包含選自〇2,N.2,C0,C〇2, NF3, NH3,Ch,ΗΒι·,及SF6所組之氣體群中之一或更 多氣體。 48.如申請專利範圍第 46項所述之電漿處理系統 ,其中,反應劑氣體另包含選自 He,Ne,Αι·,Κι·,及 Xe所組之氣體群中之一或更多氣體。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -10-
TW089124189A 1999-11-15 2000-11-15 Materials and gas chemistries for processing systems TW494434B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US44079499A 1999-11-15 1999-11-15

Publications (1)

Publication Number Publication Date
TW494434B true TW494434B (en) 2002-07-11

Family

ID=23750210

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089124189A TW494434B (en) 1999-11-15 2000-11-15 Materials and gas chemistries for processing systems

Country Status (10)

Country Link
US (2) US20040011467A1 (zh)
EP (1) EP1230664B1 (zh)
JP (1) JP2003514388A (zh)
KR (1) KR100751740B1 (zh)
CN (1) CN1251293C (zh)
AT (1) ATE394789T1 (zh)
AU (1) AU1606101A (zh)
DE (1) DE60038811D1 (zh)
TW (1) TW494434B (zh)
WO (1) WO2001037314A1 (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10010126C2 (de) * 2000-03-03 2002-10-10 Cobes Gmbh Nachrichten Und Dat Verfahren und Vorrichtung zum Plasmabehandeln der Oberfläche von Substraten durch Ionenbeschuß
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
JP2002008996A (ja) * 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
KR100403616B1 (ko) * 2001-01-03 2003-10-30 삼성전자주식회사 플라즈마 장치에 의한 플라즈마 처리 공정의 시뮬레이션방법
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US7882800B2 (en) * 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
US6756313B2 (en) 2002-05-02 2004-06-29 Jinhan Choi Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
KR100486724B1 (ko) * 2002-10-15 2005-05-03 삼성전자주식회사 사행 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
FR2880027B1 (fr) * 2004-12-23 2007-04-20 Innovative Systems & Technolog Procede de traitement d'un materiau polymere, dispositif pour la mise en oeuvre de ce procede et utilisation de ce dispositif au traitement de corps creux
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20070112781A1 (en) * 2005-11-17 2007-05-17 Mcmullen Cindy System and method for providing search controls in a communities framework
US7858514B2 (en) * 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides
WO2011025143A2 (ko) * 2009-08-24 2011-03-03 한국기초과학지원연구원 플라즈마 발생용 마이크로웨이브 안테나
KR20110020702A (ko) 2009-08-24 2011-03-03 한국기초과학지원연구원 균일한 대면적 마이크로웨이브 플라즈마 발생원을 위한 영구자석 장착형 안테나
CN102668241B (zh) * 2010-03-24 2015-01-28 株式会社村田制作所 Rfid系统
CN103081073B (zh) * 2010-11-30 2015-12-02 佳能安内华股份有限公司 等离子体处理设备
US20130240147A1 (en) * 2012-03-19 2013-09-19 Sang Ki Nam Methods and apparatus for selectively modulating azimuthal non-uniformity in a plasma processing system
JP6248562B2 (ja) * 2013-11-14 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6373707B2 (ja) * 2014-09-30 2018-08-15 株式会社Screenホールディングス プラズマ処理装置
KR101673240B1 (ko) * 2014-11-13 2016-11-07 주식회사 에이치시티엠 모바일 기기용 영구자석 구조물
CN104505327B (zh) * 2014-12-19 2018-03-27 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
KR101953596B1 (ko) * 2016-09-28 2019-03-04 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 플라스마 처리 방법
CN110536530A (zh) * 2018-09-20 2019-12-03 北京北方华创微电子装备有限公司 磁增强法拉第屏蔽结构及感应耦合等离子体源
WO2020072305A1 (en) * 2018-10-05 2020-04-09 Lam Research Corporation Plasma processing chamber
CN114836735B (zh) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3569777A (en) * 1969-07-28 1971-03-09 Int Plasma Corp Impedance matching network for plasma-generating apparatus
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
KR920002864B1 (ko) * 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) * 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0791660B2 (ja) * 1989-08-30 1995-10-04 株式会社日立製作所 環境遮断用耐熱壁を備えた地上機器
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5356661A (en) * 1990-11-21 1994-10-18 Sumitomo Electric Industries, Ltd. Heat transfer insulated parts and manufacturing method thereof
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH05267237A (ja) * 1992-03-23 1993-10-15 Nippon Telegr & Teleph Corp <Ntt> プラズマ・ダメージ低減法およびプラズマ処理装置
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5399253A (en) * 1992-12-23 1995-03-21 Balzers Aktiengesellschaft Plasma generating device
JPH06251896A (ja) * 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6227235B1 (en) * 1996-06-24 2001-05-08 Johannes Nikolaus Laing Temperature regulated hot water recirculation system
US5707452A (en) * 1996-07-08 1998-01-13 Applied Microwave Plasma Concepts, Inc. Coaxial microwave applicator for an electron cyclotron resonance plasma source
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6228781B1 (en) * 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5795451A (en) * 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6051151A (en) * 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6085688A (en) * 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
JPH11297673A (ja) * 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6254966B1 (en) * 1998-08-04 2001-07-03 Victor Company Of Japan, Ltd. Information recording mediums, supporter used in the mediums, manufacture methods of the supporter, manufacturing apparatus of the supporter and stampers for producing the mediums
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6153977A (en) * 1999-04-06 2000-11-28 Tokyo Seihinkaihatsu Kenkyusho ECR type plasma generating apparatus
US6228782B1 (en) * 1999-05-11 2001-05-08 Advanced Micro Devices, Inc. Core field isolation for a NAND flash memory
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems

Also Published As

Publication number Publication date
US20060011583A1 (en) 2006-01-19
DE60038811D1 (de) 2008-06-19
EP1230664B1 (en) 2008-05-07
CN1251293C (zh) 2006-04-12
CN1423824A (zh) 2003-06-11
KR20020053080A (ko) 2002-07-04
JP2003514388A (ja) 2003-04-15
ATE394789T1 (de) 2008-05-15
WO2001037314A1 (en) 2001-05-25
US20040011467A1 (en) 2004-01-22
AU1606101A (en) 2001-05-30
EP1230664A1 (en) 2002-08-14
KR100751740B1 (ko) 2007-08-24

Similar Documents

Publication Publication Date Title
TW494434B (en) Materials and gas chemistries for processing systems
EP1230666B1 (en) Plasma processing systems and method therefor
JP4869059B2 (ja) アンテナ、プラズマ処理装置および基板の処理方法
TWI416623B (zh) 具有單一平面天線之電感耦合雙區域處理腔室
JP4387299B2 (ja) 磁気プラズマ制御を伴う容量結合プラズマリアクタ
KR102015697B1 (ko) 기판 처리 장치
EP1727186A1 (en) Plasma chamber with discharge inducing bridge
US20120097870A1 (en) Apparatus for forming a magnetic field and methods of use thereof
US20020121345A1 (en) Multi-chamber system for semiconductor process
JP2005019968A (ja) 高密度プラズマ処理装置
CN111183504B (zh) 制造过程中的超局部和等离子体均匀性控制
TWI466186B (zh) 消除感應耦合電漿反應器中之m形蝕刻速率分佈之方法
WO2016149515A1 (en) Controlling azimuthal uniformity of etch process in plasma processing chamber
JP2005303053A (ja) プラズマ処理装置
TWI822731B (zh) 蝕刻方法及電漿處理裝置
JP2004533096A (ja) 誘導結合高密度プラズマ源
JP4566373B2 (ja) 酸化膜エッチング方法
TW202131371A (zh) 蝕刻裝置及方法
TWI757483B (zh) 蝕刻方法
KR101200743B1 (ko) 다중 유도결합 플라즈마 처리장치 및 방법
JP2003318165A (ja) プラズマ生成用ポイントカスプ磁界を作るマグネット配列およびプラズマ処理装置
KR101597234B1 (ko) 플라즈마 처리 장치
KR101161200B1 (ko) 플라즈마 처리 장치 및 방법
KR20100052970A (ko) 유동성 플라즈마 제어 시스템

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent