CN1423824A - 用于加工系统的材料和气体化学组成 - Google Patents

用于加工系统的材料和气体化学组成 Download PDF

Info

Publication number
CN1423824A
CN1423824A CN00818379A CN00818379A CN1423824A CN 1423824 A CN1423824 A CN 1423824A CN 00818379 A CN00818379 A CN 00818379A CN 00818379 A CN00818379 A CN 00818379A CN 1423824 A CN1423824 A CN 1423824A
Authority
CN
China
Prior art keywords
plasma
process chamber
substrate
plasma process
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00818379A
Other languages
English (en)
Other versions
CN1251293C (zh
Inventor
A·D·拜利三世
A·M·舍普
D·J·赫姆克尔
M·H·维尔科克森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1423824A publication Critical patent/CN1423824A/zh
Application granted granted Critical
Publication of CN1251293C publication Critical patent/CN1251293C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Glass Compositions (AREA)
  • Chemical Treatment Of Metals (AREA)
  • Materials For Photolithography (AREA)
  • Arc Welding In General (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种用于加工衬底的等离子体加工系统。该等离子体加工系统包括单一的腔室,即基本水平对称的等离子体加工腔室,其中点燃并保持等离子体用于加工。该等离子体加工腔室没有单独的等离子体发生腔室。该等离子体加工腔室具有上端和下端。该等离子体加工腔室包含基本不与送入该等离子体加工腔室的反应性气体化学物质反应的材料。此外,本发明公开了流入所述等离子体加工腔室的反应物气体。

Description

用于加工系统的材料和气体化学组成
相关专利的交叉参者
本申请与下列并行提出的美国专利申请相关:
申请号:09/439,661,标题为“改进的等离子体加工系统和用于该系统的方法”。(代理人案号:LAM1P122/P0527)
申请号:09/470,236,标题为“具有动态气体分布控制的等离子体加工系统”;(代理人案号:LAM1P123/P0557)
申请号:09/439,675,标题为“用于等离子体加工设备的温度控制系统”;(代理人案号:LAM1P124/P0558)
申请号:09/440,418,标题为“用于产生均匀处理速度的方法和设备”,(代理人案号:LAM1P125/P0560)
申请号:09/439,759,标题为“用于控制等离子体体积的方法和设备”(代理人案号:LAM1P129/P0593)
上面标出的每个专利申请引入本文作为参考。
发明背景
本发明涉及加工衬底的设备和方法,所述衬底如用于IC制造的半导体衬底或平面显示用途中的面板(例如玻璃、塑料等)。更具体地,本发明涉及改进的等离子体加工系统,它们能以衬底表面上的高加工均匀度加工衬底。
等离子体加工系统已经应用了一段时间。在过去若干年中,利用感应耦合等离子源、电子回旋共振(ECR)源、电容性源等的等离子体加工系统已经引入并在各种程度上用来加工半导体衬底和显示面板。
在典型的等离子体加工应用中,把加工源气体(如腐蚀剂气体和沉积源气体)引入到腔室中。然后提供能量在加工源气体中点燃等离子体。在点燃等离子体后,维持额外的能量,该能量可以用各种众所周知的方法如电容性、感应性、通过微波等耦合到该等离子体中。然后在加工工作中使用该等离子体,例如在衬底上选择性蚀刻或沉积薄膜。等离子体加工系统一般在本领域中是众所周知的,参考文献充分提供关于各种市售系统的细节。因此,为了简短起见,这里不再详细讨论关于等离子体加工的一般原理。
在加工衬底过程中,工艺工程师努力改进的重要参数之一是加工均匀性。例如,在蚀刻环境中,蚀刻均匀性是产率的重要决定因素,即高蚀刻均匀性往往提高无缺陷加工的衬底的百分数,这对于制造商来说转化为降低成本。作为本文所用的术语,蚀刻均匀性是指在衬底表面上整个蚀刻过程的均匀性,包括蚀刻速度、微装载、掩模选择性、底层选择性、临界尺寸控制、和轮廓特征如侧壁角度和粗糙度。例如,如果蚀刻是高度均匀的,预计在衬底上不同点的蚀刻速度基本是相等的。在这种情况下,衬底的一个区域过度蚀刻而其它区域蚀刻不足的可能性较小。此外,在许多应用中,这些严格的加工要求在衬底加工过程中的不同阶段可能是自相矛盾的。这通常是由于存在多重薄膜,它们必须按明显不同的等离子体加工要求进行加工。例如,在加工单一衬底以获得希望的加工性能时,可能要求气体压力、等离子体密度和化学组成明显变化。
除了加工均匀性以外,还存在工艺工程师关心的其它问题。其中,对于制造商重要的问题是加工工具的所有权价格,它包括例如获得和维护所述系统的价格、保持合格的加工性能所需要的室清洗频率、系统部件的寿命等。因此,希望的蚀刻方法常常是在不同所有权价格和工艺参数之间达到合适平衡的方法,从而可以用较低的成本产生较高质量的加工。另外,当衬底上的部件变得更小且工艺变得更苛刻(例如,更小的临界尺寸、更高的深宽比、更快的产量等),工艺工程师不断寻求新的方法和设备,以便以更低的成本获得更高质量的加工结果。
发明概述
在一种实施方案中,本发明涉及一种用于加工衬底的等离子体加工系统,它包括一个腔室,即基本上水平对称的等离子体加工腔室,其中点燃并维持等离子体用于加工。该等离子体加工腔室没有单独的等离子体发生腔室。该等离子体加工腔室具有上端和下端。该等离子体加工腔室包括基本不与送入该等离子体加工腔室中的活性气体化学物质反应的材料。此外,公开了流入该等离子体加工腔室的反应物气体。
该等离子体加工系统包括布置在等离子体加工腔室上端的耦合窗和布置在当衬底放入该等离子体加工腔室中用于加工时由衬底限定的平面上方的射频天线装置。该等离子体加工系统还包括布置在由衬底限定的平面上方的电磁铁装置。构造该电磁铁装置,以便在向该电磁铁装置提供至少一种直流电流时,在靠近耦合窗和天线的区域内,在等离子体加工腔室内部的可控磁场产生径向的变化。这种径向的变化可以有效影响衬底上的加工均匀性。
等离子体加工系统另外包括一个连结到电磁铁装置的直流电源。直流电源有一个控制器,以改变至少一种直流电流的大小,从而改变在靠近天线的区域内在等离子体加工腔室内部的可控磁场的径向变化,以改善衬底上的加工均匀性。
在另一种实施方案中,本发明涉及一种在使用等离子体增强工艺加工衬底时控制加工均匀性的方法。该方法包括提供具有一个基本上水平对称构形的腔室的等离子体加工腔室,在衬底的加工过程中在该腔室内点燃和维持等离子体,该等离子体加工腔室没有单独的等离子体发生腔室。该等离子加工腔室包括一种基本不与送入等离子体加工腔室的活性气体化学物质反应的材料。此外,公开了流入该等离子体加工腔室中的反应物气体。
该方法还包括提供布置在等离子体加工系统上端的耦合窗,并提供布置在当衬底放入该等离子体加工腔室中加工时由衬底限定的平面上方的射频天线装置。该方法另外包括提供布置在由衬底限定的平面上方的电磁铁装置。构造该电磁铁装置,以便在向该电磁铁装置提供至少一种直流电流时,在靠近耦合窗和天线的区域内,在等离子体加工腔室内部的可控磁场产生径向的变化。这种径向变化可以有效影响衬底上的加工均匀性。
另外,还包括提供一个连结到电磁铁装置的直流电源,把衬底放在等离子体加工腔室中,使反应物气体流入等离子体加工腔室中,使反应物气体触发等离子体,并改变在靠近天线的区域内在等离子体加工腔室内部的可控磁场的径向变化,以改善衬底上的加工均匀性。
在另一个实施方案中,本发明涉及一种用于加工衬底的等离子体加工系统,它包括一个腔室,即基本上水平对称的等离子体加工腔室,其中点燃并维持等离子体用于加工。该等离子体加工腔室没有单独的等离子体发生腔室。该等离子体加工腔室具有上端和下端。
该等离子体加工系统包括布置在等离子体加工腔室上端的耦合窗和布置在当衬底放入该等离子体加工腔室中用于加工时由衬底限定的平面上方的射频天线装置。
还包括耦合到射频天线的第一个射频电源,和布置在由衬底限定的平面上方的磁体装置。构造该磁铁装置,以便由于该磁体装置发射出的磁力线在靠近耦合窗和天线的区域内使等离子体加工腔室内部的可控磁场产生径向变化。这种径向变化可以有效影响衬底上的加工均匀性。
另外,包括一个构造的衬底支撑装置,以便在加工过程中在等离子体加工腔室内支撑衬底,并包括耦合到衬底支撑装置的第二个射频电源。第二个射频电源是可控的,与第一个射频电源无关。另外,包括改变在靠近天线的区域内在等离子体加工腔室内部的可控磁场的径向变化的装置,以改善衬底上的加工均匀性。
下面在本发明的详细描述中并与下列附图结合更详细地描述本发明的这些和其它特征。
附图简述
现通过实施例并且非限制性地说明本发明,其中:
图1根据本发明的一种实施方案说明一种等离子体加工系统,它包括示例性的射频天线装置和示例性的上部磁体装置。
图2A表示在图1的腔室内可能产生的一些示例性磁力线,以促进在等离子体加工腔室内的可控磁场强度和形态(topology)的径向变化。
图2C表示可控磁场强度径向变化的典型形态。
图2B是在控制图1的等离子体加工系统的电磁线圈中的直流电流时可以产生的另一种径向发散的磁场形态的示例性说明。
图3A-3H表示根据本发明的实施方案可以用于本发明的等离子体加工系统的各种射频天线装置。
图4A-4F表示根据本发明的实施方案可以用于本发明的等离子体加工系统的各种磁场发生器装置。
图5A-5C表示根据本发明的实施方案可以用于本发明的等离子体加工系统的各种磁桶(magenet bucket)装置。
图6A-6C表示根据本发明的实施方案可以用于本发明的等离子体加工系统的各种磁化模式。
图7根据本发明的一种实施方案表示通过改变可控磁场强度和/或形态的径向变化控制加工均匀性涉及的步骤的简化流程图。
优选的实施方案详述
现参考其几个优选的实施方案详细描述本发明,如附图所示。在下列描述中,为了提供本发明的充分理解,提出了许多具体细节。但是,本领域技术人员应该清楚,没有部分或全部的这些具体细节也可以实施本发明。在其它情况下,为了不会多余地使本发明不清楚,没有详细描述一些众所周知的工艺步骤和/或结构。
在一种实施方案中,本发明涉及一种改进的等离子体加工系统,它能高度控制加工均匀性。典型的改进等离子体加工系统包括一个基本上水平对称的腔室,即平行于晶片表面的每个横截面具有近似的圆形,该等离子加工腔室用来发生等离子体并包含等离子体用于加工工作。这种改进的等离子体加工系统还包括一个上部磁体装置和一个布置在加工过程中的衬底表面上方的射频天线装置。
布置射频天线装置和上部磁体装置,使得在衬底上方足够远的等离子体加工腔室的可控磁场强度和形态有非同寻常量的径向变化,从而诱导加工均匀性的变化,同时保证在衬底处的磁场强度较低。在该优选的实施方案中,这种可控磁场强度和形态的径向变化基本在靠近射频天线/真空界面的功率耦合区附近。可控磁场强度和形态的径向变化使得它以非同寻常的方式影响加工均匀性。通过有意设计等离子体加工系统来产生能够影响加工均匀性的可控磁场的强度和形态的径向变化模式,然后提供改变该径向变化的方法,提供一种均匀性调节控制盘(dial),使得工艺工程师能够调整工艺来改善均匀性。
在一个实施例中,通过使射频天线装置与上部磁体装置不共面,产生了上述可控磁场强度和形态的径向变化。供选择地或者另外地,通过提供包括两个或多个电磁线圈的上部磁体可以产生可控磁场强度和形态方面的径向变化,所述电磁线圈相互之间可以是共面或不共面的。可以布置多个电磁线圈(但是不要求如此)并使直流电流具有相反的方向,以便诱导可控磁场强度和形态的上述径向变化。
为了容易制造和简化操作,该等离子体加工腔室优选的是构造成(尽管不限于此)单一腔室,即基本上水平对称的等离子体加工腔室。换言之,本发明的等离子体加工腔室优选的是由单一腔室组成,它产生并容纳用于衬底加工的等离子体(所以不需要单独的发生等离子体的腔室),并且它还是基本上水平对称的,以提高均匀性。借助实施例并且以非限制的方式,该腔室可以采用单圆筒形或拱顶形腔室。
在一种优选的实施方案中,所述腔室采用单圆筒形腔室,其中,点燃并容纳等离子体用于加工工作,而不需要使用单独的发生等离子体的腔室和单独的加工用腔室。
在一种示例性的改进的等离子体加工系统中,还提供一种磁桶(magnetic bucket)装置,构造这些磁桶装置以迫使从衬底向外产生一些明显的等离子体密度梯度。在一种优选的实施方案中,在等离子体加工腔室的周边附近布置磁桶装置。优选地但不是必须地,磁桶装置包括许多关于等离子体加工腔室周边轴向取向的永久磁体,在腔室壁内侧或者外侧。不管具体的实施方式如何,构造磁桶装置,迫使等离子体密度梯度在远离衬底的腔壁附近集中。这样,由于使在衬底上的等离子体密度梯度最小化并且明显减小,因此进一步提高了均匀性。与磁场强度和形态的上述可控径向变化相结合,在改进的等离子体加工系统中加工均匀性的改善程度可能远高于许多现有的等离子体加工系统。
磁桶往往减小等离子体到腔壁的损失,所以,示例性的改进等离子体加工系统可能效率更高地使用由所述源产生的等离子体。因此,对于给定的源功率,一般可以获得比在典型的加工系统中更大的密度,这又提供了更宽的加工范围。在许多情况下,可以容易地获得希望的加工密度,因此在示例性的改进系统中,一般需要更小的源功率来产生所述密度。本领域技术人员可以理解,电源功率的设定值降低往往减少可能的晶片损坏机制,进一步扩大系统的允许加工范围。
反应器的等离子体分析(例如基于由对器壁的Bohm损失平衡的体积离子化的分析)还预测,对于给定功率的沉积的电子温度将通过减小等离子体损耗区域而降低。这将在一个实施方案中通过引入磁桶来实现。电子温度的这种降低一般导致在晶片上可能引起待加工电子电路损坏的可能性更小。排除等离子诱发损坏的这种根源往往明显扩大设备的操作范围。
还观察到,在某些沉积等离子体化学物质中,桶形磁场往往减少在器壁上的聚合物沉积总量,从而减少腔室清洗次数,所述腔室清洗次数是适合于不断增加的大晶片的腔室中所需的表面积增大所期望的。
在晶片上的平均密度还对决定所得的加工结果起很大作用。通过使用磁桶减小等离子体对于腔壁的损耗,这里所公开的均匀度控制机制基本与平均密度无关。
此外,在晶片处的非常低的磁场促进了所公开的均匀度控制的发明,这通过使较高的磁场区域位于远离晶片处而优先获得。因此,使用磁桶有效地利用由所述源产生的密度是非常有利的。
参考附图和随后的讨论,可以更好地理解本发明的特征和优点。图1表示根据本发明的一种实施方案的等离子体加工系统100,包括典型的射频天线装置102和典型的上部磁体装置104。在图1的实施例中,表示射频天线装置102和上部磁体装置104布置在等离子体加工腔室106上方。如下文将讨论的,对于射频天线装置102和上部磁体装置104,其它位置也是可能的。
还表明射频天线装置102耦合到射频源112,射频原112可以为射频天线装置102提供频率约为0.4-50MHz的射频能量。更优选地,频率范围约为1-30MHz。在用于蚀刻的优选的实施方案中,提供到射频天线装置102的射频频率优选的是约为4MHz。
图1的实施方案的上部磁体装置104包括两个同轴的磁线圈,二者传导相反方向的直流电。还表明上部磁体装置104耦合到可变直流电源114,构造可变直流电源114,以便改变供给到上部磁体装置104的电磁线圈(或多个电磁线圈)的直流电流的大小和/或方向,从而改变在区域110中的可控磁场强度和形态的径向变化,以便在给定过程的衬底表面上获得希望的加工均匀性水平。
表明衬底122布置在衬底支撑装置124之上,衬底支撑装置124耦合到偏置射频源系统128,以便独立地控制撞击到晶片上的带电粒子的能量。偏置射频源128可以提供频率范围约为0.3-50MHz,更优选约为2-30MHz,优选约为13.5MHz的射频能量,这种射频能量是周期性的但不必是正弦的。衬底122代表待加工的工件,例如,它可以代表待蚀刻、待沉积或者其它待加工的半导体衬底,或者需要加工成平面显示器的显示面板。在一种利用磁桶的实施方案中优选的但不是绝对必须的是,衬底应该良好地支撑在磁桶的最下面的部分内,以避免可能在磁桶部分向非磁性部分过渡处提供的密封变化水平附近产生的在等离子加工混合物中的任何轴向梯度。制造和成本方面可能决定磁桶的实际尺寸和加工过程中衬底的相对位置。
如图1所示,等离子体加工腔室106表明具有简单的一般圆筒形状。换言之,用来点燃和容纳加工衬底的等离子体的等离子体加工腔室106的部分优选的是由单一的腔室组成,尽管所讨论的技术可以在多腔室反应器中实现。有利地,不需要单独的等离子体发生腔室,因为它使得腔室的制造复杂化并且引入额外的等离子体输送问题(例如,必需适当地把所产生的等离子体输送出等离子体发生腔室和输送到用于加工衬底的加工腔室中的装置)。同时,在衬底上方的基本垂直的腔室侧壁和简单的圆筒形状使得腔室侧壁更容易制造,更不容易沉积颗粒物质(这些颗粒物质最终可能剥落而污染衬底),并且简化腔室的清洗问题。可以想象,在侧壁中的某些弯曲虽然使反应器设计复杂化,但是也可以使用,并且对于腔室清洗和处理可能有其它优点。单一腔室的准确设计和形状,即基本上水平对称的等离子体加工腔室可能依赖于成本、工作难易性和对于特定制造商的可制造性之间的平衡。
在图1中,射频天线装置102和上部磁体装置104是非共面的,即它们沿着等离子加工腔室的轴108在空间上偏移,以便诱导可控磁场强度和形态在等离子体加工腔室内靠近射频天线、耦合窗/真空界面装置102的区域110中的径向变化。图2A表示可能在图1的腔室106内产生的促进在等离子体加工腔室内的可控磁场强度和形态的径向变化的一些典型的磁力线。
有利地,现在在可控磁场强度和形态方面存在非同寻常的径向变化,这是在改进的等离子体加工系统中故意产生和故意构造的,以便能够对衬底表面的加工均匀性具有非同寻常的影响。例如,在图2A中的磁力线140从高磁场强度的环线中发散,并且半径接近腔室146半径144的一半。通过提供改变可控磁场强度和形态的这种故意产生的径向变化的机构,与现有技术的等离子体加工系统相比,可以更大程度地微调在衬底表面上的加工均匀性。
在靠近窗/真空界面处测量,来自B线圈的B磁场的范围约为0-1,500高斯,更优选的是约为0-200高斯,最佳值约为0-50高斯。图2B是在操纵图1的电磁线圈104中的直流电流时可能产生的另一种径向发散的磁场形态的举例说明。例如,与图1相比,在图2B中,磁力线从轴上的高磁场区域发散。使用图1的线圈104可以控制闭合的和打开的磁力线的精确形态。另外,所述磁力线的角度变化范围和绝对数值由磁线圈的精确设计决定。例如,可以使这些磁体不共面,以提供比图1所示的磁力线更多地指向轴的磁力线的实例。最佳的b线圈设计相互依赖于腔室直径、磁桶的存在和强度、天线和所设计反应器的等离子体加工方式。该设计可以根据本发明的原理确定。径向变化的典型形态表示在图2C中。在1000情况下的B磁场强度在轴上具有单一的局部最大值,而在1002情况下,在一定的半径处有两个对称分布的局部最大值以及在轴上的局部最大值。在本发明中,这些类型的形态变化可以使用电磁体组件控制。
在图1中还表示了磁桶装置132,它包括许多沿等离子体加工腔室周边轴向取向的永久磁体。如上所述,构造磁桶装置132,以保持远离衬底的等离子体密度梯度,同时在晶片处保持非常小的磁场。在图1的示例性实施方案中,磁桶装置132包括32个永久磁体磁极,它们的径向磁化因子关于腔室交替变化(例如N、S、N、S等)。但是,每个腔室的磁极实际数量可以根据每个等离子体加工系统的具体设计而变化。
一般来说,磁极的数量应该足够多,以保证从衬底远离的方向上有高等离子体密度梯度。但是,相对于腔室桶的其余部分来说在磁极处的损耗最高,太多的磁极可能会降低密度增强。例如,在真空-腔壁界面处的磁场强度约为15-1,500对于某些加工过程可能是合适的。更优选地,在真空-腔壁界面处的磁场强度约为100-1,000高斯。优选地,在真空-腔壁界面处的磁场强度约为800高斯。应该理解,使用磁桶装置102提高在晶片表面上的等离子体均匀性,并且不是所有过程都需要的。然而,如果均匀度高是关键的,引入磁桶装置是相当有利的。
在一种优选的实施方案中,射频天线装置优选的是布置在射频耦合窗上方,以便有利地简化腔室和/或射频耦合窗和/或磁桶装置的设计和建造。但是,可以预料,把射频天线装置放在腔室上的其它位置也可以实现磁场强度和形态希望的可控径向变化。例如,图3A表示根据本发明的原理设计的等离子体加工系统,该系统具有在耦合窗120周边附近布置的射频天线装置102。但是,优选的是布置射频天线装置,使得它在当衬底放置在用于加工的等离子体加工腔室内时由衬底形成的平面之上。另外,射频天线应该足够靠近上部磁体装置,以促进B场强和形态可控径向变化的更高B场强区域附近的等离子体形成。
在图1的示例性实施方案中,采用具有3-D堆叠构形的射频天线,以促进水平对称耦合,这在需要衬底上的高加工均匀性水平时是重要的。但是,还应该注意,这种3-D堆叠构形并不是所有情况下都要求的。在许多情况下,这种天线的固有的水平对称耦合特性并不是必需的(例如,在用不具有固有的水平对称耦合特性的天线如平面螺旋天线可以获得合格的均匀性的情况下)。可以使用的供选择的射频天线装置的实例可以参见图3B(平面螺旋线圈)、图3C(具有不同匝数的射频天线线圈,每个线圈可以具有不同的厚度)、图3D(具有不同匝数的垂直布置的射频天线线圈)、图3E(包含多个单独驱动的天线的射频耦合源)、和图3F(半球形天线,它可以是所示的单一线圈或者可以包含一起驱动或用多个单独电源的多个线圈)。另外,射频天线装置可以具有不同类型的其它非轴环绕构形,如D形、多级天线等。
关于所用的射频频率,作为一般的波导,具有在这些装置上发现的典型的介电常数和物理尺寸的较低的射频频率如小于13MHz往往使天线的任何固有水平不对称耦合特性更不明显。因此,在较低的射频频率下,可以使用更广泛的天线构形排列。例如,当设频频率低,例如为4MHz时,可以采用平面螺旋天线,以便在改进的等离子体加工腔室中获得高质量的蚀刻。在较高的射频频率,例如为13MHz及以上时,天线的固有水平不对称耦合特性可能变得更显著,并且可能不利地影响加工均匀性。因此,其耦合特性为固有的水平不对称的天线(例如简单的螺旋天线)对于要求高加工均匀性的某些方法可能是不合适的。在这些情况下,可以保证具有固有水平对称的耦合特性的天线的使用。
在优选的实施方案中,射频天线装置优选的是与电磁线圈、等离子体加工腔室和衬底是同轴的。但是,这并不是绝对的要求。对于不需要由同轴射频天线装置提供高加工均匀度的某些加工过程或者在使用不对称泵入的腔室中,射频天线装置可以偏离这种同轴构形,以解决腔室设计中的不对称性。但是,故意引入可控磁场强度和形态的可控径向变化和控制可控磁场强度和形态的这种径向变化以便微调衬底表面上的加工均匀性的能力仍然是希望的。
关于射频天线装置的尺寸,一般优选的是(但不是绝对必需的)使射频天线装置的尺寸小于腔室的横截面,以保持在衬底上方区域内集中的等离子体并且防止不适当的等离子体到腔壁的扩散,这种扩散会不利的需要更多的能量来运行等离子体加工系统并增大腔壁腐蚀。在图1的示例性改进的等离子加工系统中,其中,磁桶装置布置在腔室外面,射频天线装置的覆盖区优选的是保持在由磁桶装置限定的区域内,以减小等离子体到腔壁的扩散。如果磁桶装置布置在腔室内部(以靠近内壁多个磁体结构或位于衬底轴附近的磁体结构形式,以便把等离子体密度梯度推向腔壁),射频天线装置的覆盖区优选的是保持在靠近腔壁的高等离子体密度梯度区内。
在图1中,射频耦合窗表示为平面的。但是,可以预料,射频耦合窗也可以具有其它形状,例如示例性的图3A中的形状,其中,耦合窗材料还向下延伸形成帽,或者在示例性的图3G中的形状,其中,所述窗是半球形的。图3H表示半球形窗与半球形天线的结合。应该注意,不要求射频耦合窗的半径等于等离子加工腔室的半径。
在较低的射频频率(例如小于约13MHz)下,在天线与等离子体之间的电容性耦合被减小,这种电容性耦合降低耦合窗130的辐射。随着辐射减小,可以排除半导体窗的需求或者法拉第屏蔽的使用。实际上,可以预料,耦合窗130可以有利地由介电材料的任一种如SiC或AlxNy或SixNy制成,它们可以与SiC结合,使得耦合窗更容易与腔室内的等离子体环境相匹配。
图1还表示包括两个同心电磁线圈的上部磁体装置。但是,应该注意,特别是如果希望更细水平的均匀性控制,也可以提供两个电磁线圈。虽然这种多线圈构造是有利的,因为即使当电磁线圈装置和射频天线装置共面时它也能产生可控磁场强度和形态的希望的径向变化,这样并不是绝对必要的。如上所述,无论所涉及的线圈数量,当电磁线圈装置和射频天线装置沿着腔室轴不共面时,也可以获得可控磁场强度和形态的这种径向变化。
一般来说,通过改变提供到(多个)电磁线圈的直流电流的大小和/或方向,可以改变径向发散的磁场形态。如果涉及多个电磁线圈,例如图1的实施例中的情况,可以操纵提供到所涉及的多个电磁线圈的一个或多个直流电流的大小和/或方向,以便对衬底表面具有希望的均匀性影响。当然,也可以用其它方式操纵可控磁场强度和形态的径向变化。例如,通过机械移动上部磁体装置,既使其绕与108不同的轴转动或者使其沿腔室轴移动、使其在同一平面内移动和/或使上部磁体装置倾斜,也可以改变径向可变的磁场形态。作为另一个例子,在磁线圈周围引入并且移动磁力线板材料也可以调节磁场形态。
由于通过操纵主要在靠近射频天线装置102的区域110附近产生的磁场强度和形态的径向变化促进了均匀性控制,所以,在衬底与上部磁体装置之间的距离是一个重要参数。这是因为磁场形态的径向分量的变化也影响轴向分量。优选地,加工衬底时的磁场强度在衬底表面处应该相当弱(例如小于约15高斯),并且在靠近射频天线装置的轴线位置处应该强(例如靠近真空-窗界面处约为15-200高斯)。如果磁场强度在靠近晶片的太大体积内变得太低,等离子体将接近没有在合适位置上的均匀性控制机构的情况下可以获得的扩散分布。虽然这样的扩散分布对于反应器的设计加工范围内的某些区域可能是足够均匀的,但是,明显削弱了与具有磁场强度和形态可控径向变化相关的优点。
考虑到这些因素,所述距离应该足够小,以便在改变径向变化的磁场强度和形态时可以控制加工均匀性。但是,该距离不应该过分小,以免由于在加工过程中由晶片位置上的磁场过强引起或增强的晶片中电流的变化或被加工的晶片上蚀刻部件的荷电导致衬底损坏。此外,如果所述源到晶片的距离太小,轴向扩散开始支配加工均匀性。控制均匀性所要求的径向变化刻度长度变得接近待控制的非均匀性的尺寸。这导致较差的最佳磁性设计,因为磁场强度和形态的局部径向变化数量和所要求的控制精度增大。换言之,如果腔室太小,其物理边界控制加工均匀性,这种控制程度使得本发明原理的应用尽管仍然可以应用,但是其迫使设计过于复杂且在希望的均匀性控制与如前所述的其它现实的考虑因素即成本、可靠性等之间的最佳平衡较差。
在该优选的实施方案中,可以通过考虑可控磁场形态的径向发散分量和轴向分量的强度和当向电磁线圈装置提供直流电流来调整加工均匀性时避免不适当的衬底损坏的需要,在某些情况下按经验确定在衬底与上部磁体装置之间的距离。在一种示例性实施方案中,对于设计用于加工直径小于约470mm的半导体衬底的衬底加工腔室,20cm的距离是合适的。
在图1的实施方案中,表示了布置在RF耦合窗之上的上部磁体装置。但是,这样并不是绝对需要的。图4A表示一种实施方案,其中,至少线圈104a之一布置在腔室106的周边上。图4A的实施方案还表示使用两个线圈,这两个线圈也是相互不共面的。当合适地使用该实施方案时,绝对不需要任一种要求(多线圈或不共面)。应该注意,在图4A中,这些线圈按要求可以布置在窗130之上或之下。但是,优选的是这些线圈布置在衬底平面上方并靠近RF天线,以便有意义地影响所产生的磁场强度和形态的径向变化。
在该优选的实施方案中,上部磁体装置与天线、腔室、和衬底是同轴的,以简化等离子体云与衬底的对准。但是,可以预计,在某些等离子体加工腔室中,例如使用不对称泵入的或要求更复杂磁场形态的那些等离子体加工腔室,使上部磁体装置偏离腔室轴线和/或衬底轴线以改善加工结果可能是有利的。一种这样的实施方案表示在图4B中,这里线圈104a和104b至少之一偏离腔室轴线。类似地,尽管该磁线圈表示为平面,但是这不是绝对必需的,并且预计半球形的或其它3-D形状的线圈如图4C中所示的那些线圈可以用于进一步形成腔室内的磁场形态。
此外,不要求线圈必须直接放在天线上面。举例来说,在图4D的实施方案中,电磁线圈104b表示为在RF天线402上面。但是,在图4E的实施方案中情况不是这样。
另外,虽然上部磁体装置的优选的实施方案是电磁线圈(多个电磁线圈),但是,可以预计也可以使用足够强大的永久磁体装置,例如由NdFeB或SmCo族磁性材料制得的,以便有目的地产生磁场形态的上述径向发散。在永久磁体实施方案中,所得的磁场形态的径向发散可以通过下述方法改变,即通过机械移动上部磁体装置的部件和/或通过提供合适的结构或磁路部件,例如通过插入具有高磁导率的结构作为上部永久磁体装置和耦合窗的有效磁路的一部分来适当地改变磁力线。类似地,也可以使用铁磁元件和电磁元件的组合。
在图4F的实施方案中,附加的磁场发生装置104C也可以放在由衬底形成的平面下面,或者在腔室外或者在腔室内,以降低磁场强度和/或进一步补偿在衬底表面处的磁场强度和/或形态的剩余变化。在这种情况下,可以减少由于上部磁体装置产生的磁场的过强轴向分量可能对衬底的损坏。
虽然在优选的实施方案中标明了简单性和对称性,但是,可以预计用截面不是环形的(例如六方形或正方形或其它几何形状)的磁线圈或具有不与系统主轴平行的轴的磁线圈,也可以实现类似的均匀性控制偏差。
在图1的示例性等离子体加工系统中,真空腔室、气体泵入、桶形磁场、天线和等离子体加工腔室的磁线圈的设计优选的是与腔室本身、RF天线装置和衬底同轴的。虽然这种实施方案特别有利,因为它最好地利用了典型衬底加工和扩散特性的固有对称性,但是,可能存在其中合适的是真空腔室和磁体设计与腔室、RF天线装置、和/或衬底不同轴的一些情况。例如,某些等离子体加工系统可能实施不对称泵入。在这些情况下,通过在真空腔室和/或磁体设计中设计一定程度的不对称性来校正在通过腔室的气流中的不对称性可以提高均匀性。
另外,不要求射频天线的覆盖面积必须大于上部磁性装置的覆盖面积。即不要求上部磁性装置的截面小于射频天线的截面。只要构造这两个装置使得在等离子体加工腔室内在等离子体/窗界面附近产生磁场强度和形态的可控径向变化,射频天线和上部磁体装置的相对尺寸可以按要求确定尺寸。
如果涉及磁桶装置,如在优选的实施方案的情况下,为了改善某些关键加工过程的加工均匀性,磁桶的设计也是重要的。一般来说,磁桶装置应该产生足够强的磁场,以迫使大部分等离子体密度梯度远离衬底并靠近腔壁。优选地,在等离子体加工腔室内在真空/壁界面处的磁场强度应该较高,例如在15高斯-1,500高斯之间,更优选的是在约100高斯-1,000高斯之间,并且在一种实施方案中约为800高斯。但是,在衬底中心处的磁场强度应该保持较低,例如小于约15高斯,更优选的是小于约5高斯。
虽然图1表示包括跨过接近整个等离子体加工腔室高度的磁体的磁桶装置,这并不是要求的。例如,图5A表示一种磁桶装置,其磁体132没有从腔室顶部跨到腔室底部。一般来说,优选的是确定永久磁体的尺寸使得由磁桶装置产生的磁场的轴向梯度在衬底表面的水平面上保持较低。在一种实施方案中,磁桶装置的磁性部分从衬底上方(即接近腔室顶部)延伸到低于衬底平面的位置(例如1.5英寸以下),以便使由磁桶装置产生的磁力线的轴向梯度最小化或者使其明显降低。
虽然该实施方案是非常有益的并且是优选的,但是预计对于某些加工过程,可以使用具有仅布置在衬底平面一侧的腔室磁体(即磁桶装置的磁体)的等离子体加工系统。例如,如果加工均匀性要求可以容许存在由磁桶装置产生的磁力线的某些轴向梯度,那么,没有必要要求腔室磁体延伸到衬底平面的两侧。作为另一个实施例,可以有两套腔室磁体,衬底平面每侧一套(如图5B中的磁体132和180),以保证由磁桶装置产生的磁力线的轴向梯度在衬底表面处保持较低,并迫使大部分等离子体密度梯度远离衬底并靠近腔壁。另外,尽管图1的实施方案表示磁桶装置的磁极在真空外面,这并不是绝对的要求。例如,在图5B中的第二套磁体(参考编号180)表示为在真空内。
另外,尽管使用永久磁体来实施在优选的实施方案中的磁桶装置,但是,也可以使用电磁体实现磁桶装置。
如上所述,尽管本发明的一个重要特征是取消等离子体发生腔室,但是可以认识到,虽然可以使用同一腔室来点燃、产生和容纳用于加工的等离子体,但是保持衬底在同一腔室中不是绝对必须的。如图5B所示,可以提供另外的腔室106b来容纳承载衬底并使衬底容易输送的载体。通过使用单一的腔室106a来产生并容纳用于加工工作的等离子体,已经解决了许多等离子体和化学物质输送问题和腔壁吸收问题,因此并非绝对必须地要求夹具装置和排气路径也位于相同的腔室中。换言之,只要进行加工的衬底表面暴露于用来产生和容纳用于加工的等离子体的单一腔室中的等离子体,并且有均匀性控制调节器来细调径向发散的磁场形态,就可以获得非常有益的加工结果。如果磁桶装置还用来迫使所产生的等离子体的等离子体密度梯度远离衬底表面并朝向腔壁,即使夹具装置和部分或整个衬底位于一个腔室中并且待加工衬底表面暴露于在其它腔中点燃并容纳用于加工的等离子体中,也可以实现非常均匀的加工。
另外,尽管磁桶的磁体与关于腔室交变的径向磁化模式水平地(例如沿着腔室的轴)对齐排列,但是,可以预计磁化模式可以是非交变的。例如,一组磁体可以分成多个亚组,每个亚组可以有相同或不同数量的磁体。这可以合适地解决在特定腔室中遇到的任何不对称问题。同时,每个磁体的轴可以在径向以外的方向上排列。这种实施方案也可以合适地解决在特定腔室中遇到的任何不对称问题。
在特别有益的实施方案中,可以使磁桶的部分或全部磁体绕其轴旋转,以改变磁化模式。一种这样的实施方案表示在图6C中。供选择地或者另外地,通过机械移动磁体或改变通过磁体的电流量(例如如果涉及电磁铁),可以使各个磁体的大小是可变的。
另外,尽管图1的实施方案表示磁桶装置的磁极是轴向的,但是这并不是绝对的要求。例如,部分或全部磁极可以以格板形式(例如图6A)或者水平(azithmuthal)方式(例如图6B),其中磁体可以平行于衬底的平面布置。在某些等离子体加工系统中,这些布置可能是合适的,因为它们由于某些等离子体加工系统的具体情况能更大程度地保证等离子体密度梯度远离衬底。
图7表示根据本发明的一种实施方案的简化的流程图,它表明通过改变可控磁场强度和/或形态的径向变化在控制加工均匀性中所涉及的步骤。在步骤702中,提供单一的腔室即基本水平对称的等离子体加工腔室。单一的腔室可以用于点燃和容纳用于加工的等离子体,从而取消了对于单独的等离子体发生腔室的需要和与之相关的等离子体输送问题。
如上所述,蚀刻过程需要严密控制以获得希望的蚀刻特性,如选择性、蚀刻均匀性、蚀刻速率、蚀刻轮廓等。在实现蚀刻过程的严密控制方面的一个重要因素是用于等离子体加工腔室的材料,例如在步骤702中提供的单一腔室。更具体地,尽管是不希望的,但是等离子体加工腔室的材料常常在蚀刻过程中沉积。作为不希望的反应的实例,如果不加控制,等离子体加工腔室的内表面可能与等离子体加工腔室中使用的反应物气体反应,或者诸如聚合物等材料可能粘附在加工腔室的内表面上,其方式随晶片不同而变化或者在单一晶片的加工过程中不利地变化。电介质蚀刻加工用于许多用途;通过掺杂或未掺杂氧化物的蚀刻,常常形成自定位的触点、高深宽比的触点、无边界触点、通孔、沟槽等。
最近,已经提出大量供选择的电介质,目的在于降低材料的介电常数。它们在社会上统称为低-k材料,例如碳或氟掺杂的氧化物或有机物基材料。由其性质进行的电介质蚀刻取决于在晶片的展开表面、蚀刻部件的表面和腔室表面上的竞争反应之间的非常巧妙的平衡。这些反应涉及由等离子激发离解的或者通过在腔室内的多种物理和化学过程形成的等离子体物质如离子和电子、作为原料气体引入的中性气体。由于这些反应的性质、朝向等离子体的材料区域和腔室材料与晶片的靠近程度,等离子体加工腔室的材料是特别重要的。此外,由于材料本身以不断提高的速率进行变化,所要求的加工的准确性质不能提前得知。对于困难的加工量度如在晶片上蚀刻的关键尺寸的均匀性尤其如此。这种量度通常不仅取决于腔室设计的细节,而且还取决于总体系统设计。因此,特别是涉及本发明的聚焦,希望的是使等离子体加工腔室的材料与蚀刻过程反应的程度最小化,以便获得可以满足所有蚀刻用途要求的加工腔室。
除了反应性的最小化以外,用于等离子体加工腔室的材料也应该理想地具有适度的高导热性和导电性并具有高机械性能。在蚀刻过程的各个阶段,希望的是控制等离子体加工腔室的温度在几摄氏度范围内。可以提供适度的高导热性的材料是有益的,因为它可以促进等离子体加工腔室的温度控制。可以提供适度的高导电性的材料也是有益的,因为它对腔室的可重复性有利。为等离子体稳定性和可重复性提供足够的接地面积也是有益的。另外,具有高机械性能的材料是有益的,因为这种材料可以承受苛刻的环境条件(压力和温度和维护活动)。具有这种希望的特性的一种材料是碳化硅(SiC)。SiC是具有较高导热性和适度的导电性的材料。此外,SiC提供良好的机械性能,如在所述腔室中保持真空所需的强度。
等离子体加工腔室可以用SiC制成,以促进对蚀刻过程更严密的控制。正如本领域技术人员将会理解的那样,整个等离子体加工腔室可以用SiC制成。供选择地,仅仅等离子体加工腔室的内表面用SiC制成,例如可以使用SiC涂层,如下文所讨论的。SiC可以用许多方法制造。希望的是使用纯SiC,使其不包含明显量的金属元素。如本领域所公知的,在蚀刻过程中暴露于等离子体的含金属材料可能导致金属污染,这将不利地影响蚀刻过程。蚀刻过程的这种污染使得难以清洗等离子体加工腔室和/或保持对于粘附到等离子体腔室壁上的材料(如聚合物)均匀的附着系数。为了获得对蚀刻过程的严密控制,污染应该最小化。因此,SiC是对于等离子体加工腔室非常适合的材料,因为它耐蚀刻加工并且可以以纯态制备。可以用若干方法生产纯态的SiC。例如,可以使用化学气相沉积(CVD)、注浆成型、热压和烧结、等静压和烧结成型来生产纯态的SiC。
根据本发明的优选的实施方案,等离子体加工腔室(例如步骤702的单一腔室)用CVD沉积的SiC制成。CVD技术是优选的,因为它可以以“超纯”形式生产SiC。纯SiC对于为了促进蚀刻加工而引入的等离子体加工的反应物气体化学物质也是耐腐蚀的。下面将讨论合适的反应物气体化学物质。由于生产CVD沉积的SiC较贵,对于某些蚀刻过程,例如非电介质蚀刻和/或获得对蚀刻参数的严密控制不是重要参数的蚀刻过程,其它形式的SiC可能是节省成本的。供选择地,SiC可以作为另一种材料上的涂层提供。例如,SiC可以使用在另一种合适的基底如注浆SiC、石墨或铝上的火焰喷涂涂层或溅射沉积涂层,甚至CVD SiC涂层涂敷在另一种材料上(如铝)。此外,SiC或其它合适的材料可以结合到连接到圆筒腔室壁上的载体上,或者直接结合到圆筒形载体上。如果用于腔室内表面的希望的材料不包含所有希望的物理性质,这种方法可能有若干优点或者例如低成本实施。
还可以使SiC具有更高的电阻率。如上所述,SiC通常具有适中的导电性。但是,SiC的导电性是容易处理的,因此可以生产具有更高电阻率的SiC。有利地,等离子体加工腔室的某些部件可以用具有更高电阻率的SiC材料制成。例如,在利用射频(RF)提供功率的晶片支撑机构(例如静电夹具(ESC))的等离子体加工系统中,希望的是具有可以提供较高电阻率的晶片支撑机构,以便使其中的RF耦合最小化。作为另一个实例,如前所述,可以期望耦合窗130(图1中所示)可以有利地由介电材料如SiC、AlxNy或SixNy形成。因此,用具有更高电阻率的SiC可以用于形成等离子体加工系统的其它部件,如ESC或耦合窗,在这些地方,更高的电阻率是希望的。
虽然已经讨论了SiC是等离子加工腔室(例如步骤702的单一腔室)的特别合适的材料,但是其它材料也可以用于等离子体加工腔室。此外,可以使用除SiC以外的其它材料来生产等离子体加工系统的一种或多种部件(例如ESC或耦合窗)。例如,用于等离子体加工腔室或其部件的其它合适材料可以包括石英、硅、二氧化硅、碳、碳化硼、氮化硼。
还应该理解,其它材料可能更适合于某些特殊的蚀刻过程。例如,由于生产纯态SiC伴随较高的成本,其它材料如石英是可以较容易且便宜地生产的材料,因此在获得对蚀刻参数的严密控制具有更大容许量的某些蚀刻过程可能是更合适的替换材料。另外,当对于严密控制可以允许更大的范围时,在等离子体加工腔室内所用的材料甚至可以使用铝、或者阳极氧化铝。另外,正如本领域技术人员将会理解的那样,也可以使用利用材料组合的结构来制造等离子体加工腔室以及等离子体加工系统的其它部件(例如ESC或耦合窗)。
如上所述,在步骤702中,提供一个单一的腔室,即基本水平对称的等离子体加工腔室。继续用图1中表示的过程,在步骤704中,提供RF天线。在步骤706中,提供并且布置上部磁体装置使得RF天线和上部磁体装置一起产生影响晶片上的加工均匀性控制的可控磁场强度和/或形态的不寻常的径向变化。
在步骤708中,把衬底放在用于加工的等离子体加工腔室内。在步骤710中,反应物气体(例如沉积源气体、腐蚀剂源气体、稀释剂气体等)流入等离子体加工腔室并从中点燃等离子体。各种气体化学物质可以用于流入等离子体加工过程的反应物气体。对于特定蚀刻过程和/或考虑若干其它因素,可以选择这些气体化学物质。其它因素的实例包括等离子体加工腔室的材料、蚀刻加工的类型、或等离子体加工系统的其它部件。一般来说,根据本发明的一种实施方案,可以与O2、N2、CO、CO2和SF5、NF3、NH3、Cl2或HBr气体的一种或多种结合使用CxFyHzOw(其中,x、y、z和w是整数并且其中z和/或w可以为0或者y和/或w可以为0)形式的气体组合,用于电介质蚀刻过程。此外,可以向上述化学物质中加入其它气体,如He、Ne、Ar、Kr、Xe可以用作稀释剂或沉积前驱体。例如,CxFyHzOw形式的气体组合可以包括与其它气体的混合物组合的碳氟化合物(CxFy)和/或碳氟氢化合物(CxHyFz)气体。在下表1中提供了可以用于反应物气体化学物质的气体的典型组合。
    表1-反应物气体化学物质
    C5F8+CF4+CHF3+CH2F2
    C4F8+CF4+CHF3+CH2F2
    C4F6+CF4+CHF3+CH2F2
    C3F6+CF4+CHF3+CH2F2
    C2F6+CF4+CHF3+CH2F2
    C2HF5+CF4+CHF3+CH2F2
    C5F8+CF4+CHF3+C2H2F4
    C4F8+CF4+CHF3+C2H2F4
    C4F6+CF4+CHF3+C2H2F4
    C3F6+CF4+CHF3+C2H2F4
    C2F6+CF4+CHF3+C2H2F4
    C2HF5+CF4+CHF3+C2H2F4
    C5F8+CHF3+C2HF5+CH2F2
    C4F8+CHF3+C2HF5+CH2F2
    C4F6+CHF3+C2HF5+CH2F2
    C3F6+CHF3+C2HF5+CH2F2
    C2F6+CHF3+C2HF5+CH2F2
    CF4+CHF3+C2HF5+CH2F2
如前所述,在用于反应物气体化学物质的气体组合中也可以提供O2、N2、CO、CO2和SF6气体的一种或多种。因此,可以向表1中所列的反应物气体化学物质加入O2、N2、CO、CO2和SF6NF3、NH3、Cl2或HBr的一种或多种。此外,可以加入一种或多种其它气体以形成希望的反应物气体化学物质,例如可以利用诸如He、Ne、Ar、Kr和Xe作为稀释剂,或者可以加入其它气体作为沉积前驱体。例如,包括这些其它气体的气体典型组合可以由He、Ne、Ar、Kr和Xe的一种或多种与在表1中提供的气体化学物质的任一种结合来获得。
在反应物气体送到等离子体加工腔室中并且在步骤710中点燃了等离子体后,接下来在步骤712中,把在RF天线附近的可控磁场强度和/或形态的径向变化调节到改善衬底表面上的加工均匀性的设定值。该设定可以在加工之前提前进行达到预定的设定值,或者可以通过固定设定值或者用反馈电路对某些过程敏感性变量实时进行以便在等离子体加工过程中调节加工均匀性。
正如本领域技术人员可以理解的那样,可以容易地采用本发明以主动的依时性方式控制加工均匀性,这可以比没有均匀性控制更能获得在更宽的加工制度范围内充分控制晶片加工。例如,可以预计,更宽的等离子体密度范围可以用于本发明的等离子体加工系统中(例如约109-约1013个离子/立方厘米),因为磁桶可以使电源能量非常有效地利用在等离子体的发生和维持中,并且上部磁体装置可以使得加工均匀性控制保持在整个等离子体密度范围内。类似地,可以预计,更宽的压力范围(例如<1mT-约100mT)可以成功地用于加工衬底中,因为磁桶使得等离子体可以在较低的压力下维持。因此,单一的反应器可以用于具有宽加工范围的各种过程,这赋予了工艺工程师用现有技术的等离子体加工系统不能获得的灵活程度。
另外,应该考虑到,可以使用适当的反馈机构来实时监测在衬底上的加工均匀性并且还实时修改可控磁场强度和形态的径向变化,以便获得希望的最佳加工均匀性结果。供选择地或者附加地,可控磁场强度和形态方面的径向变化可以调节到不同的设定值,以便对于特定蚀刻过程中的不同蚀刻步骤获得正确的均匀性控制。应该注意可以利用或不用反馈机构进行这些设定,并且在通过单一的薄膜蚀刻时进行这些设定或者在蚀刻从一个薄膜移动到另一个薄膜时进行这些设定。在没有反馈机构的情况下,对于各个步骤的合适的均匀性设定值可以按经验或者另外的方法提前确定并且在蚀刻过程中使用。
虽然按着几个优选的实施方案描述了本发明,但是,存在在本发明范围内的改变、变更和等同物。例如,尽管在优选的实施方案中使用蚀刻来简化讨论,但是,应该理解,这种均匀性控制应用于任何半导体加工过程,例如沉积。所以,以下所附权利要求意欲解释为包括落在本发明的实质和范围内的所有这些变化、变更和等同物。

Claims (48)

1.一种用于加工衬底的等离子体加工系统,其包括:
在其中点燃并维持用于所述加工的等离子体的等离子体加工腔室,所述等离子体加工腔室具有上端和下端,所述等离子体加工腔室包含基本不与送入所述等离子体加工腔室中的反应物气体化学物质反应的材料;
布置在所述等离子体加工腔室上端的耦合窗;
当所述衬底布置在所述等离子体加工腔室内用于所述加工时,在由所述衬底确定的平面上方布置的RF天线装置;
布置在由所述衬底确定的所述平面上方的电磁体装置,构造所述电磁体装置,以便在向所述电磁体装置提供至少一种直流电时,在所述等离子体加工腔室内靠近所述耦合窗和天线附近产生可控磁场的径向变化,所述径向变化有效影响在所述衬底上的加工均匀性;
耦合到所述电磁体装置的直流电源,所述直流电源具有控制器以改变所述至少一种直流电流的大小,从而改变在所述等离子体加工腔室内在靠近所述天线的所述区域中的所述可控磁场的径向变化,以改善在所述衬底上的所述加工均匀性。
2.权利要求1的等离子体加工系统,其中,所述等离子体加工腔室包括内表面,并且至少等离子体加工腔室的内表面用基本不与流入所述等离子体加工腔室中的反应物气体化学物质反应的材料制成。
3.权利要求2的等离子体加工系统,其中,所述等离子体加工腔室的所述材料选自碳化硅、石英、硅、二氧化硅、碳、碳化硼、和氮化硼。
4.权利要求1的等离子体加工系统,其中,所述等离子体加工腔室全部由基本不与送入单一腔室中的反应性气体化学物质反应的材料制成。
5.权利要求4的等离子体加工系统,其中,所述等离子体加工腔室的所述材料选自碳化硅、石英、硅、二氧化硅、碳、碳化硼和氮化硼。
6.权利要求1的等离子体加工系统,其中,所述等离子体加工腔室的所述材料是碳化硅。
7.权利要求6的等离子体加工系统,其中,所述等离子体加工腔室的碳化硅选自化学气相沉积(CVD)、注浆成型、热压并烧结、等静压并烧结成型的碳化硅。
8.权利要求1的等离子体加工系统,其中,所述等离子体加工腔室的碳化硅是化学气相沉积(CVD)沉积的碳化硅。
9.权利要求2的等离子体加工系统,其中,所述等离子体加工腔室的所述材料是一种材料的涂层。
10.权利要求2的等离子体加工系统,其中,所述等离子体加工腔室的所述材料是碳化硅涂层。
11.权利要求2的等离子体加工系统,其中,形成所述等离子体加工腔室的所述内表面的材料由与腔壁结合的合适材料组成的结合的组合体提供。
12.权利要求11的等离子体加工系统,其中,所述结合的组合体用导电或导热粘结剂结合。
13.权利要求11的等离子体加工系统,其中,构造所述结合的组合体,以便可靠地形成明显部分的等离子接地。
14.权利要求11的等离子体加工系统,其中,所述结合的组合体由若干部分构成或者由结合到腔壁的所述合适的材料的瓦片构成。
15.权利要求11的等离子体加工系统,其中,所述合适的材料是碳化硅。
16.权利要求2的等离子体加工系统,其中,形成所述等离子体加工腔室内表面的材料通过结合到一种载体上的合适材料组成的结合的组合体提供,所述载体连接到腔壁上。
17.权利要求16的等离子体加工系统,其中,所述结合的组合体用导电或导热粘结剂结合。
18.权利要求16的等离子体加工系统,其中,构造所述结合的组合体,以便可靠地形成明显部分的等离子接地。
19.权利要求16的等离子体加工系统,其中,所述结合的组合体由若干部分构成或者由瓦片构成。
20.权利要求16的等离子体加工系统,其中,所述合适的材料是碳化硅。
21.权利要求2的等离子体加工系统,其还包括:
一种构造的衬底载体,以便在所述加工过程中支撑所述衬底,其中,形成反应器内表面的一部分的所述衬底载体由比所述等离子体加工腔室的材料电阻更大的材料制成。
22.权利要求21的等离子体加工系统,其中,所述衬底载体的所述材料选自碳化硅、石英、硅、二氧化硅、碳、碳化硼、氮化硼和阳极铝。
23.权利要求21的等离子体加工系统,其中,所述衬底载体的所述材料是碳化硅。
24.权利要求1的等离子体加工系统,其中,所述衬底代表半导体晶片。
25.权利要求1的等离子体加工系统,其中,所述衬底代表用于平面显示器制造中的玻璃或塑料面板。
26.权利要求1的等离子体加工系统,其中,所述加工包括蚀刻所述衬底。
27.一种用于加工衬底的等离子体加工系统,其包括:
在其中点燃并维持用于所述加工的等离子体的等离子体加工腔室,所述等离子体加工腔室具有上端和下端,至少所述等离子体加工腔室的内表面由选自碳化硅、石英、硅、二氧化硅、碳、碳化硼和氮化硼的材料制成;
布置在所述等离子体加工腔室上端的耦合窗;
当所述衬底布置在所述等离子体加工腔室内用于所述加工时,在由所述衬底确定的平面上方布置的RF天线装置;
布置在由所述衬底确定的所述平面上方的电磁体装置,构造所述电磁体装置,以便在向所述电磁体装置提供至少一种直流电时,在所述等离子体加工腔室内靠近所述耦合窗和天线附近产生可控磁场的径向变化,所述径向变化有效影响在所述衬底上的加工均匀性;和
耦合到所述电磁体装置的直流电源,所述直流电源具有控制器以改变所述至少一种直流电流的大小,从而改变在所述等离子体加工腔室内靠近所述天线的所述区域中的所述可控磁场的径向变化,以改善在所述衬底上的所述加工均匀性。
28.一种用于加工衬底的等离子体加工系统,其包括:
在其中点燃并维持用于所述加工的等离子体的等离子体加工腔室,所述等离子体加工腔室具有上端和下端,至少所述等离子体加工腔室的内表面由碳化硅制成;
布置在所述等离子体加工腔室上端的耦合窗;
当所述衬底布置在所述等离子体加工腔室内用于所述加工时,在由所述衬底确定的平面上方布置的RF天线装置;
布置在由所述衬底确定的所述平面上方的电磁体装置,构造所述电磁体装置,以便在向所述电磁体装置提供至少一种直流电时,在所述等离子体加工腔室内靠近所述耦合窗和天线附近产生可控磁场的径向变化,所述径向变化有效影响在所述衬底上的加工均匀性;
耦合到所述电磁体装置的直流电源,所述直流电源具有控制器以改变所述至少一种直流电流的大小,从而改变在所述等离子体加工腔室内在靠近所述天线的所述区域中的所述可控磁场的径向变化,以改善在所述衬底上的所述加工均匀性。
29.权利要求28的等离子体加工系统,其中,所述耦合窗具有至少一个由碳化硅制成的表面。
30.权利要求28的等离子体加工系统,其中,所述等离子体加工腔室的碳化硅选自化学气相沉积(CVD)、注浆成型、热压并烧结、等静压并烧结成型的碳化硅材料。
31.一种在使用等离子体增强法加工衬底时用于控制加工均匀性的方法,其包括:
提供具有单一腔室的具有基本水平对称结构的等离子体加工腔室,在其中在所述衬底的所述加工过程中点燃并维持等离子体,所述等离子体加工腔室没有单独的等离子体发生腔室;
提供在所述等离子体加工系统的上端布置的耦合窗;
提供当所述衬底布置在所述等离子体加工腔室内用于所述加工时,在由所述衬底确定的平面上方布置的RF天线装置;
提供在由所述衬底确定的所述平面上方布置的电磁体装置,构造所述电磁体装置,以便在向所述电磁体装置提供至少一种直流电时,在所述等离子体加工腔室内靠近所述耦合窗和天线附近产生可控磁场的径向变化,所述径向变化有效影响在所述衬底上的加工均匀性;
提供耦合到所述电磁体装置的直流电源;
把所述衬底放入所述等离子体加工腔室中;
使反应物气体流入所述等离子体加工腔室中,所述反应物气体包括气体的组合,其中,包含在所述反应物气体中的所述气体组合的一种或多种气体是CxFyHzOw气体,并且其中,x、y、z和w是正整数,尽管z、w和y的任一个可以为0;
从所述反应物气体点燃所述等离子体;和
改变在所述等离子体加工腔室内在靠近所述天线的所述区域内在所述可控磁场中的所述径向变化,以改善在所述衬底上的所述加工均匀性。
32.权利要求31的方法,其中,所述反应物气体还包含一种或多种选自O2、N2、CO、CO2、SF6、NF3、NH3、Cl2和HBr的气体。
33.权利要求22的方法,其中,所述反应物气体还包含一种或多种选自He、Ne、Ar、Kr和Xe的气体。
34.权利要求21的方法,其中,所述反应物气体还包含一种或多种选自He、Ne、Ar、Kr和Xe的气体。
35.权利要求21的方法,其中,所述反应物气体包含选自C5F8、C4F8、C4F6、C3F6、C2F6和CF4的气体。
36.权利要求21的方法,其中,所述反应物气体包含选自C2HF8、C2HF5、CHF3、C2H2F2、C2H2F4和CH2F2的气体。
37.权利要求21的方法,其中,所述反应物气体包含选自下列气体组合的气体:
              C5F8+CF4+CHF3+CH2F2
              C4F8+CF4+CHF3+CH2F2
              C4F6+CF4+CHF3+CH2F2
              C3F6+CF4+CHF3+CH2F2
              C2F6+CF4+CHF3+CH2F2
              C2HF5+CF4+CHF3+CH2F2
              C5F8+CF4+CHF3+C2H2F4
              C4F8+CF4+CHF3+C2H2F4
              C4F6+CF4+CHF3+C2H2F4
              C3F6+CF4+CHF3+C2H2F4
              C2F6+CF4+CHF3+C2H2F4
              C2HF5+CF4+CHF3+C2H2F4
              C5F8+CHF3+C2HF5+CH2F2
              C4F8+CHF3+C2HF5+CH2F2
              C4F6+CHF3+C2HF5+CH2F2
              C3F6+CHF3+C2HF5+CH2F2
              C2F6+CHF3+C2HF5+CH2F2;和
              CF4+CHF3+C2HF5+CH2F2
38.权利要求37的方法,其中,所述反应物气体还包含一种或多种选自O2、N2、CO、CO2和SF6的气体。
39.权利要求38的方法,其中,所述反应物气体还包括一种或多种选自He、Ne、Ar、Kr和Xe的气体。
40.权利要求37的方法,其中,所述反应物气体还包含一种或多种选自O2、N2、CO、CO2NF3、NH3、Cl2或HBr和SF6的气体。
41.权利要求37的方法,其中,所述反应物气体还包括一种或多种选自He、Ne、Ar、Kr和Xe的气体。
42.权利要求31的方法,其中,所述等离子体加工腔室包括内表面,至少等离子体腔室的内表面由基本不与流入所述等离子体加工腔室的反应性气体化学物质反应的材料制成。
43.权利要求42的方法,其中,所述等离子体加工腔室的所述材料选自碳化硅、石英、硅、二氧化硅、碳、碳化硼和氮化硼。
44.权利要求31的方法,其中,所述等离子体加工腔室包含碳化硅。
45.权利要求31的方法,其中,所述等离子体加工腔室完全由碳化硅制成。
46.权利要求1的等离子体加工系统,其中,反应物气体流入所述等离子体加工腔室,所述反应物气体包含气体的组合,其中,包含在所述反应物气体中的所述气体组合的一种或多种气体是CxFyHzOw气体,并且其中,x、y、z和w是正整数,且z和w至少之一可以为0,或者至少y和w之一为0。
47.权利要求46的等离子体加工系统,其中,所述反应物气体还包含一种或多种选自O2、N2、CO、CO2NF3、NH3、Cl2或HBr和SF6的气体。
48.权利要求46的方法,其中,所述反应物气体还包括一种或多种选自He、Ne、Ar、Kr和Xe的气体。
CNB008183791A 1999-11-15 2000-11-14 用于加工系统的材料和气体化学组成 Expired - Fee Related CN1251293C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44079499A 1999-11-15 1999-11-15
US09/440,794 1999-11-15

Publications (2)

Publication Number Publication Date
CN1423824A true CN1423824A (zh) 2003-06-11
CN1251293C CN1251293C (zh) 2006-04-12

Family

ID=23750210

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008183791A Expired - Fee Related CN1251293C (zh) 1999-11-15 2000-11-14 用于加工系统的材料和气体化学组成

Country Status (10)

Country Link
US (2) US20040011467A1 (zh)
EP (1) EP1230664B1 (zh)
JP (1) JP2003514388A (zh)
KR (1) KR100751740B1 (zh)
CN (1) CN1251293C (zh)
AT (1) ATE394789T1 (zh)
AU (1) AU1606101A (zh)
DE (1) DE60038811D1 (zh)
TW (1) TW494434B (zh)
WO (1) WO2001037314A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102612863A (zh) * 2009-08-24 2012-07-25 韩国基础科学研究院 产生等离子体的微波天线
CN104505327A (zh) * 2014-12-19 2015-04-08 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
CN110536530A (zh) * 2018-09-20 2019-12-03 北京北方华创微电子装备有限公司 磁增强法拉第屏蔽结构及感应耦合等离子体源
CN112805805A (zh) * 2018-10-05 2021-05-14 朗姆研究公司 等离子体处理室
CN114836735A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10010126C2 (de) * 2000-03-03 2002-10-10 Cobes Gmbh Nachrichten Und Dat Verfahren und Vorrichtung zum Plasmabehandeln der Oberfläche von Substraten durch Ionenbeschuß
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
JP2002008996A (ja) * 2000-06-23 2002-01-11 Mitsubishi Heavy Ind Ltd 給電アンテナ及び給電方法
KR100403616B1 (ko) * 2001-01-03 2003-10-30 삼성전자주식회사 플라즈마 장치에 의한 플라즈마 처리 공정의 시뮬레이션방법
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US7882800B2 (en) * 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
US6756313B2 (en) 2002-05-02 2004-06-29 Jinhan Choi Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
KR100486724B1 (ko) * 2002-10-15 2005-05-03 삼성전자주식회사 사행 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
FR2880027B1 (fr) * 2004-12-23 2007-04-20 Innovative Systems & Technolog Procede de traitement d'un materiau polymere, dispositif pour la mise en oeuvre de ce procede et utilisation de ce dispositif au traitement de corps creux
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20070112781A1 (en) * 2005-11-17 2007-05-17 Mcmullen Cindy System and method for providing search controls in a communities framework
US7858514B2 (en) * 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides
WO2011025143A2 (ko) * 2009-08-24 2011-03-03 한국기초과학지원연구원 플라즈마 발생용 마이크로웨이브 안테나
CN102668241B (zh) * 2010-03-24 2015-01-28 株式会社村田制作所 Rfid系统
CN103081073B (zh) * 2010-11-30 2015-12-02 佳能安内华股份有限公司 等离子体处理设备
US20130240147A1 (en) * 2012-03-19 2013-09-19 Sang Ki Nam Methods and apparatus for selectively modulating azimuthal non-uniformity in a plasma processing system
JP6248562B2 (ja) * 2013-11-14 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6373707B2 (ja) * 2014-09-30 2018-08-15 株式会社Screenホールディングス プラズマ処理装置
KR101673240B1 (ko) * 2014-11-13 2016-11-07 주식회사 에이치시티엠 모바일 기기용 영구자석 구조물
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
KR101953596B1 (ko) * 2016-09-28 2019-03-04 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 플라스마 처리 방법

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3569777A (en) * 1969-07-28 1971-03-09 Int Plasma Corp Impedance matching network for plasma-generating apparatus
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
KR920002864B1 (ko) * 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) * 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH0791660B2 (ja) * 1989-08-30 1995-10-04 株式会社日立製作所 環境遮断用耐熱壁を備えた地上機器
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5356661A (en) * 1990-11-21 1994-10-18 Sumitomo Electric Industries, Ltd. Heat transfer insulated parts and manufacturing method thereof
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH05267237A (ja) * 1992-03-23 1993-10-15 Nippon Telegr & Teleph Corp <Ntt> プラズマ・ダメージ低減法およびプラズマ処理装置
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5399253A (en) * 1992-12-23 1995-03-21 Balzers Aktiengesellschaft Plasma generating device
JPH06251896A (ja) * 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6227235B1 (en) * 1996-06-24 2001-05-08 Johannes Nikolaus Laing Temperature regulated hot water recirculation system
US5707452A (en) * 1996-07-08 1998-01-13 Applied Microwave Plasma Concepts, Inc. Coaxial microwave applicator for an electron cyclotron resonance plasma source
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6228781B1 (en) * 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
JP3343200B2 (ja) * 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6178920B1 (en) * 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5795451A (en) * 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6051151A (en) * 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6085688A (en) * 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
JPH11297673A (ja) * 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6254966B1 (en) * 1998-08-04 2001-07-03 Victor Company Of Japan, Ltd. Information recording mediums, supporter used in the mediums, manufacture methods of the supporter, manufacturing apparatus of the supporter and stampers for producing the mediums
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6153977A (en) * 1999-04-06 2000-11-28 Tokyo Seihinkaihatsu Kenkyusho ECR type plasma generating apparatus
US6228782B1 (en) * 1999-05-11 2001-05-08 Advanced Micro Devices, Inc. Core field isolation for a NAND flash memory
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102612863A (zh) * 2009-08-24 2012-07-25 韩国基础科学研究院 产生等离子体的微波天线
CN102612863B (zh) * 2009-08-24 2014-11-19 韩国基础科学研究院 产生等离子体的微波天线
CN104505327A (zh) * 2014-12-19 2015-04-08 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
CN110536530A (zh) * 2018-09-20 2019-12-03 北京北方华创微电子装备有限公司 磁增强法拉第屏蔽结构及感应耦合等离子体源
CN112805805A (zh) * 2018-10-05 2021-05-14 朗姆研究公司 等离子体处理室
CN114836735A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法
CN114836735B (zh) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法

Also Published As

Publication number Publication date
TW494434B (en) 2002-07-11
US20060011583A1 (en) 2006-01-19
DE60038811D1 (de) 2008-06-19
EP1230664B1 (en) 2008-05-07
CN1251293C (zh) 2006-04-12
KR20020053080A (ko) 2002-07-04
JP2003514388A (ja) 2003-04-15
ATE394789T1 (de) 2008-05-15
WO2001037314A1 (en) 2001-05-25
US20040011467A1 (en) 2004-01-22
AU1606101A (en) 2001-05-30
EP1230664A1 (en) 2002-08-14
KR100751740B1 (ko) 2007-08-24

Similar Documents

Publication Publication Date Title
CN1251293C (zh) 用于加工系统的材料和气体化学组成
CN1253918C (zh) 等离子体加工系统及其方法
CN1257527C (zh) 改变磁场以控制等离子体体积的设备
CN1255851C (zh) 用于等离子体形成内磁桶以控制等离子体体积的设备
CN1225005C (zh) 用于控制等离子体体积的方法和设备
CN1675738A (zh) 具双频偏压源及单频等离子体产生源的蚀刻腔室
CN1258805C (zh) 半导体处理室电极及其制作方法
KR100847963B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
CN1833296A (zh) 用于产生均匀处理速率的天线
CN1284213C (zh) 硅高速腐蚀方法
CN101076456A (zh) 用于调整一组等离子体处理步骤的方法和装置
CN1471727A (zh) 在衬底中的大高宽比部件的蚀刻
CN1373899A (zh) 改善蚀刻率均匀性的技术
CN1682345A (zh) 用于等离子体加工系统中的改进的波纹管罩的方法和装置
CN1316095A (zh) 改进的固定均匀环设计
CN1925074A (zh) 电感耦合线圈及其电感耦合等离子体装置
CN1783430A (zh) 电容耦合型等离子体处理装置
KR20220002943A (ko) 에칭 적용을 위한 제어된 다공성 산화이트륨
CN112334433B (zh) 陶瓷烧结体和等离子体处理装置用构件
CN112655068A (zh) 具有带有改进的等离子抗性的介电等离子室的等离子源
TW202206624A (zh) 半導體零部件、等離子體處理裝置及耐腐蝕塗層形成方法
JP4623794B2 (ja) アルミナ質耐食部材及びプラズマ装置
KR102585287B1 (ko) 기판 처리 장치 및 이의 커버링
JP2008251857A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060412

Termination date: 20131114