JP7478268B2 - 超伝導集積回路の製作のためのシステムおよび方法 - Google Patents

超伝導集積回路の製作のためのシステムおよび方法 Download PDF

Info

Publication number
JP7478268B2
JP7478268B2 JP2023002839A JP2023002839A JP7478268B2 JP 7478268 B2 JP7478268 B2 JP 7478268B2 JP 2023002839 A JP2023002839 A JP 2023002839A JP 2023002839 A JP2023002839 A JP 2023002839A JP 7478268 B2 JP7478268 B2 JP 7478268B2
Authority
JP
Japan
Prior art keywords
superconducting
layer
metal layer
dielectric layer
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2023002839A
Other languages
English (en)
Other versions
JP2023052344A (ja
Inventor
ラディジンスキー,エリック
ヒルトン,ジェレミー,ピー.
オ,ビョン,ヒョプ
ブニク,ポール,アイ.
Original Assignee
ディー-ウェイブ システムズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ディー-ウェイブ システムズ インコーポレイテッド filed Critical ディー-ウェイブ システムズ インコーポレイテッド
Publication of JP2023052344A publication Critical patent/JP2023052344A/ja
Application granted granted Critical
Publication of JP7478268B2 publication Critical patent/JP7478268B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0912Manufacture or treatment of Josephson-effect devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76891Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by using superconducting materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0156Manufacture or treatment of devices comprising Nb or an alloy of Nb with one or more of the elements of group 4, e.g. Ti, Zr, Hf
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/10Junction-based devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/10Junction-based devices
    • H10N60/12Josephson-effect devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/80Constructional details
    • H10N60/805Constructional details for Josephson-effect devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/80Constructional details
    • H10N60/85Superconducting active materials
    • H10N60/855Ceramic materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N69/00Integrated devices, or assemblies of multiple devices, comprising at least one superconducting element covered by group H10N60/00
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N10/00Quantum computing, i.e. information processing based on quantum-mechanical phenomena
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Ceramic Engineering (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本システムおよび方法は、超伝導応用のための集積回路の製作に関する。
量子計算のための手法
量子コンピュータの設計および動作のためのいくつかの一般的な手法がある。そのような手法の1つは、量子計算の「回路」または「ゲート」モデルである。この手法では、量子ビットは、アルゴリズムのコンパイル済み表現である論理ゲートの順序に作用される。多くの研究は、回路モデル量子コンピュータの基本要素を形成するため、十分なコヒーレンスでの量子ビットの開発に焦点を置いている。
量子計算のための別の手法は、計算システムとして結合された量子素子のシステムの自然な物理発展の使用を伴う。この手法では、量子ゲートや回路を利用しなくともよい。代わりに、計算システムは、容易にアクセス可能な基底状態の公知の初期ハミルトニアンから開始し、その基底状態が問題の解答を表す最終ハミルトニアンへと制御可能に導くことができる。この手法は、通常、長い量子ビットコヒーレンス時間を必要とせず、回路モデルよりロバストであり得る。このタイプの手法の例は、断熱的量子計算および量子アニーリングを含む。
量子プロセッサ
量子計算は、超伝導量子プロセッサなどの量子プロセッサを使用して実行することができる。超伝導量子プロセッサは、例えば、2つ以上の超伝導量子ビットなどの多くの量子ビットおよび関連局所バイアス素子を含む超伝導集積回路を備え得る。本システムおよび方法に従って製作することができる例示的な超伝導量子プロセッサのシステムおよび方法のさらなる詳細については、その各々の全体が参照により本明細書に組み込まれる、米国特許第7,135,701号明細書、米国特許第7,418,283号明細書、米国特許第7,533,068号明細書、米国特許第7,619,437号明細書、米国特許第7,639,035号明細書、米国特許第7,898,282号明細書、米国特許第8,008,942号明細書、米国特許第8,190,548号明細書、米国特許第8,195,596号明細書、米国特許第8,283,943号明細書および米国特許出願公開第2011-0022820号明細書に記載されている。
超伝導量子ビット
超伝導量子ビットは、超伝導集積回路に含めることができるある種の超伝導量子素子である。超伝導量子ビットは、情報の符号化に使用される物理的特性に応じていくつかのカテゴリに分類することができる。例えば、超伝導量子ビットは、電荷、磁束および位相素子に分類することができる。電荷素子は、素子の電荷状態の情報を格納し、操作する。磁束素子は、素子の何らかの部分を通り抜ける磁束に関連する変数の情報を格納し、操作する。位相素子は、位相素子の2つの領域間の超伝導位相差に関連する変数の情報を格納し、操作する。最近では、電荷、磁束および位相自由度のうちの2つ以上を使用するハイブリッド素子が開発されている。
超伝導集積回路は、単一磁束量子(SFQ)素子を含み得る。超伝導量子ビットとのSFQ素子の統合については、例えば、その各々の全体が参照により本明細書に組み込まれる、米国特許第7,876,248号明細書、米国特許第8,035,540号明細書、米国特許第8,098,179号明細書および米国特許出願公開第2011-0065586号明細書で論じられている。
超伝導プロセッサ
コンピュータプロセッサは、超伝導プロセッサの形態を取り得、超伝導プロセッサは、従来の意味での量子プロセッサではなくともよい。例えば、超伝導プロセッサのいくつかの実施形態は、量子トンネル現象、重ね合わせおよび絡み合いなどの量子効果に焦点を置くものでなくともよく、むしろ、例えば、古典的なコンピュータプロセッサの動作を管理する原理などの異なる原理を重視することによって動作することができる。しかし、依然として、そのような「古典的な」超伝導プロセッサの実装には、ある利点が存在し得る。古典的な超伝導プロセッサの自然な物理的特性により、古典的な超伝導プロセッサは、非超伝導プロセッサより高いスイッチング速度および短い計算時間が可能であり得、したがって、古典的な超伝導プロセッサに関するある問題を解く方がより実用的であり得る。本システムおよび方法は、超伝導量子プロセッサと古典的な超伝導プロセッサの両方の製作における使用に特によく適している。
集積回路の製作
従来、超伝導集積回路の製作は、最先端の半導体製作施設で実行されるものではなかった。これは、超伝導集積回路で使用される材料のいくつかが半導体施設を汚染する恐れがあるという事実に基づき得る。例えば、金は、超伝導回路で抵抗器として使用することができるが、金は、半導体施設でのCMOSウエハの生成に使用される製作ツールを汚染する恐れがある。結果的に、金を含む超伝導集積回路は、通常、CMOSウエハを加工処理するツールでは加工処理されない。
超伝導体製作は、通常、超伝導回路生成に対して標準の業界の慣行を最適化することができる研究環境で実行されてきた。超伝導集積回路は、半導体チップまたは集積回路の製作に従来使用されたツールで製作される場合が多い。超伝導回路に特有の論点により、必ずしもすべての半導体プロセスおよび技法を、超伝導体チップ製造に移動できるというわけではない。超伝導体チップおよび回路製作における使用のための半導体プロセスおよび技法の変換は、変更および微調整を必要とする場合が多い。そのような変更および調整は、通常、明らかではなく、多大な実験を必要とし得る。半導体産業は、必ずしも超伝導産業に関連するというわけではない問題および論点に直面している。同様に、超伝導産業に関係する問題および論点は、標準の半導体製作に、ほとんどまたは全く関係ないものである場合が多い。
超伝導チップ内のいかなる不純物も、超伝導量子ビットなどの個々の素子および超伝導チップ全体の機能性を損なうかまたは劣化させる可能性がある雑音を生じさせる恐れがある。雑音は量子コンピュータの動作に対する深刻な懸念事項であるため、可能な限り誘電雑音を低減するための措置を講じるべきである。
集積回路製作の技術分野は、通常、所望の効果を生み出すために順序付けおよび/または組合せを行うことができる複数のプロセスを伴う。本システムおよび方法の少なくともいくつかの実施形態と全体的にまたは部分的に組み合わせることができる超伝導集積回路製作のための例示的なシステムおよび方法については、その全体が参照により本明細書に組み込まれる、米国特許出願公開第2011-0089405号明細書に記載されている。
エッチング
エッチングは、フォトレジストまたは他のマスキング技法によって描出される所望のパターンに従って、例えば、基板、誘電体層、酸化物層、電気絶縁層および/または金属層などの層を取り除く。2つの例示的なエッチング技法は、化学ウェットエッチングおよび化学ドライエッチングである。
化学ウェットエッチングまたは「ウェットエッチング」は、通常、ウエハを酸浴などの腐食浴に浸すことによって達成される。一般に、エッチング液は、ポリプロピレンの温度制御浴に入れられる。浴には、通常、エッチングステーションの後部にリングタイプのプレナム排気管または溝付き排気管が装備されている。垂直層流フードは、通常、均一にフィルタリングされた、微粒子が含まれていない空気をエッチング浴の上表面に供給するために使用される。
化学ドライエッチングまたは「ドライエッチング」は、エッチングプロセスをより良く制御し、汚染レベルを低減するその能力のため、一般的に使用される。ドライエッチングは、化学反応ガスを使用するなどの化学反応によって、または、例えばアルゴン原子を使用するプラズマエッチングなどの物理的な衝撃を通じて、ガスの使用を通じて所望の層のエッチングを効果的に行う。
例えば、シリコン、シリコン酸化物、シリコン窒化物、アルミニウム、タンタル、タンタル化合物、クロム、タングステン、金および他の多くの材料のエッチングを効果的に行うことができるプラズマエッチングシステムが開発されている。2つのタイプのプラズマエッチングリアクタシステム、すなわち、バレルリアクタシステムおよび平行板リアクタシステムが一般的に使用されている。両リアクタタイプは、同じ原理に基づいて動作し、主に構成においてのみ異なる。典型的なリアクタは、アルミニウム、ガラスまたは石英で通常作られている真空リアクタチャンバからなる。エッチャントとして作用するフッ素ベースまたは塩素ベースのガスを活性化するため、高周波またはマイクロ波エネルギー源(RFエネルギー源と総称される)が使用される。ウエハはチャンバに投入され、チャンバからポンプが抜かれ、試薬ガスが導入される。RFエネルギーは、ガスをイオン化し、エッチングプラズマを形成し、エッチングプラズマは、ウエハと反応して揮発性生成物を形成し、揮発性生成物は、ポンピングで取り出される。
物理エッチングプロセスは、物理的な衝撃を使用する。例えば、アルゴンガス原子を使用して、エッチングを行う層に物理的な衝撃を与えることができ、真空ポンプシステムを使用して、剥離した材料を取り除く。スパッタエッチングは、イオン衝突およびエネルギー移動を伴う物理的な技法の1つである。エッチングを行うウエハは、グロー放電回路の負極または「対象物」に取り付けられる。アルゴン陽イオンがウエハ表面に衝撃を与えた結果、表面原子の剥離が起こる。電力は、RFエネルギー源によって提供される。イオンビームエッチングおよびミリングは、低エネルギーイオンビームを使用して材料を除去する物理エッチングプロセスである。イオンビームは、放電によって生じたイオン化ガス(例えば、アルゴンまたはアルゴン/酸素)またはプラズマから抽出される。
反応性イオンエッチング(RIE)は、化学エッチングと物理エッチングとの組合せである。RIEの間、ウエハは、低圧の化学反応ガス(例えば、CF、CCl、CHFおよび他の多くのガス)が漂う空気を有するチャンバ内に配置される。放電により、数百電子ボルトのエネルギーを有するイオンプラズマが生じる。イオンはウエハ表面を垂直に叩打し、それらが反応して揮発性種が形成され、揮発性種は、低圧インライン真空システムによって取り除かれる。
三層ジョセフソン接合を形成する方法は、ベース電極層、絶縁層および対電極層を含む超伝導三層を堆積させる工程と、超伝導三層を覆ってフォトレジストマスクパターンを堆積させる工程と、少なくとも1つのジョセフソン接合を形成するために超伝導三層にパターンをエッチングする工程とを含むものとして要約することができ、少なくとも1つのジョセフソン接合を形成するために超伝導三層にパターンをエッチングする工程は、ベース電極層の少なくとも2つの部分を露出させるため、対電極層の少なくとも2つの部分を取り除く工程と、絶縁層の少なくとも2つの部分を取り除く工程とを含む。対電極層の少なくとも2つの部分を取り除く工程は、SF6、BCl3およびCl2の組合せを使用して、対電極層の少なくとも2つの部分を取り除く工程を含み得、絶縁層の少なくとも2つの部分を取り除く工程は、SF6、BCl3およびCl2の組合せを使用して、絶縁層の少なくとも2つの部分を取り除く工程を含み得る。
超伝導三層を形成する方法は、ニオブの第1の層を堆積させる工程と、原子層堆積を介してニオブの第1の層の少なくとも一部分を覆って酸化アルミニウム層を堆積させる工程と、酸化アルミニウム層の少なくとも一部分を覆ってニオブの第2の層を堆積させる工程とを含むものとして要約することができる。本方法は、ニオブの第1の層の少なくとも一部分を覆ってアルミニウム層を堆積させる工程と、アルミニウム層の少なくとも一部分を覆って酸化アルミニウム層を堆積させる工程とをさらに含み得る。
チャンバ内で超伝導三層を形成する方法は、チャンバ内でニオブのベース層を堆積させる工程と、チャンバ内でニオブのベース層の少なくとも一部分を覆って酸化アルミニウム層を堆積させる工程と、ニオブのベース層および酸化アルミニウム層を熱化するため、不活性ガスでチャンバを満たす工程と、チャンバから不活性ガスをポンピングで送り出す工程と、チャンバ内で酸化アルミニウム層の少なくとも一部分を覆ってニオブの最上層を堆積させる工程とを含むものとして要約することができる。不活性ガスでチャンバを満たす工程は、アルゴンでチャンバを満たす工程を含み得る。
ジョセフソン接合を覆って保護キャップを堆積させる方法は、酸化アルミニウム層を含む超伝導三層を堆積させる工程と、酸化アルミニウム層の少なくとも一部分を露出させるように超伝導三層をパターニングする工程と、酸化アルミニウム層の露出部分を事前洗浄する工程と、三層を覆って保護キャップを堆積させる工程とを含むものとして要約することができる。酸化アルミニウム層の露出部分を事前洗浄する工程は、イオンで酸化アルミニウム層の露出部分を事前洗浄する工程を含み得る。酸化アルミニウム層の露出部分を事前洗浄する工程は、軽度の異方性低圧エッチングを介して酸化アルミニウム層の露出部分を事前洗浄する工程を含み得る。
ハイブリッド誘電体を堆積させる方法は、第1の誘電材料を含む第1の誘電体層を堆積させる工程と、第1の誘電体層の少なくとも一部分を覆って第2の誘電体層を堆積させる工程であって、第2の誘電体層は、第2の誘電材料を含む、工程と、第2の誘電体層の少なくとも一部分を覆って第3誘電体層を堆積させる工程であって、第3の誘電体層は、第3の誘電材料を含む、工程とを含むものとして要約することができる。第3の誘電材料を堆積させる工程は、第1の誘電材料と同じタイプの材料を堆積させる工程を含み得る。第1の誘電材料を堆積させる工程は、非酸化物誘電体を堆積させる工程を含み得、第2の誘電材料を堆積させる工程は、酸化物誘電体を堆積させる工程を含み得る。
超伝導集積回路は、第1の超伝導金属層と、第1の超伝導金属層上を覆うハイブリッド誘電体層であって、第1の超伝導金属層上を直接覆うシリコン窒化物の第1の層、シリコン窒化物の第1の層上を直接覆うシリコン酸化物の層およびシリコン酸化物の層上を直接覆うシリコン窒化物の第2の層を備える、ハイブリッド誘電体層と、ハイブリッド誘電体層上を覆う第2の超伝導金属層であって、ハイブリッド誘電体層のシリコン窒化物の第2の層上を直接覆う、第2の超伝導金属層とを含むものとして要約することができる。
超伝導集積回路を製作する方法は、第1の誘電体層を堆積させる工程と、第1の誘電体層を覆って、ネガ型フォトレジストマスクで直接カバーされていない第1の誘電体層の領域に所望の回路パターンが対応するように所望の回路パターンのネガ型パターンをトレースするネガ型フォトレジストマスクを堆積させる工程と、第1の誘電体層にオープンの特徴を生成するため、第1の誘電体層に所望の回路パターンをエッチングする工程と、第1の誘電体層のオープンの特徴を少なくとも部分的に充填するため、第1の誘電体層を覆って第1の超伝導金属層を堆積させる工程と、第1の超伝導金属層を平坦化する工程と、所望の内層誘電体厚さを生成するため、第2の誘電体層を堆積させる工程であって、内層誘電体厚さは、堆積プロセスによって制御される、工程と、第2の誘電体層の上方に第2の超伝導金属層を堆積させる工程とを含むものとして要約することができる。第1の超伝導金属層を堆積させる工程は、電気めっきを介して第1の超伝導金属層を堆積させる工程を含み得る。
超伝導集積回路を製作する方法は、第1の超伝導金属層をパターニングする工程と、第1の超伝導金属層を覆って第1の誘電体層を堆積させる工程と、第1の誘電体層を覆って第1のネガ型フォトレジストマスクを堆積させる工程であって、第1のネガ型フォトレジストマスクは、第1のネガ型フォトレジストマスクで直接カバーされていない第1の誘電体層の領域に少なくとも1つのビアの位置が対応するように少なくとも1つのビアの位置のネガティブを提供する、工程と、その少なくとも1つのビアに対応する少なくとも1つの穴を生成するため、第1の誘電体層をエッチングする工程であって、少なくとも1つの穴は、第1の超伝導金属層の一部分を露出させる、工程と、少なくとも1つの穴を少なくとも部分的に充填し、少なくとも第1のビアの第1の部分を提供するため、第1の誘電体層を覆って第2の超伝導金属層を堆積させる工程と、第2の超伝導金属層を平坦化する工程と、第2の誘電体層を堆積させる工程と、第2の誘電体層を覆って、第2のネガ型フォトレジストマスクで直接カバーされていない第2の誘電体層の領域に所望の回路パターンが対応するように所望の回路パターンのネガティブをトレースする第2のネガ型フォトレジストマスクを堆積させる工程と、第2の誘電体層にオープンの特徴を生成するため、第2の誘電体層に所望の回路パターンをエッチングする工程と、第2の誘電体層を覆って第3のネガ型フォトレジストマスクを堆積させる工程であって、第3のネガ型フォトレジストマスクは、第3のネガ型フォトレジストマスクで直接カバーされていない第2の誘電体層の領域に少なくとも1つのビアの位置が対応するように少なくとも1つのビアの位置のネガティブを提供し、少なくとも1つのビアの位置は、第2の誘電体層のオープンの特徴の範囲内である、工程と、少なくとも1つのビアに対応する少なくとも1つの穴を生成するため、第2の誘電体層をエッチングする工程であって、少なくとも1つの穴は、第1のビアの第1の部分の一部分を露出させる、工程と、第2の誘電体層の少なくとも1つの穴を少なくとも部分的に充填し、第1のビアの第2の部分を提供するため、および、第2の誘電体層のオープンの特徴を少なくとも部分的に充填するため、第2の誘電体層を覆って第3の超伝導金属層を堆積させる工程と、第3の超伝導金属層を平坦化する工程とを含むものとして要約することができる。第2の超伝導金属層を堆積させる工程および第3の超伝導金属層を堆積させる工程のうちの少なくとも1つは、電気めっきを含み得る。第2の超伝導金属層を平坦化する工程および第3の超伝導金属層を平坦化する工程のうちの少なくとも1つは、化学機械平坦化を含み得る。
超伝導集積回路における使用のための基板は、シリコンを含むベース層と、酸化アルミニウムを含む最上層とを含むものとして要約することができる。ベース層は、ドーピングされていないシリコン、ドーピングされたシリコン、サファイアおよび石英のうちの少なくとも1つを含み得る。ベース層は、最上層より厚いものであり得る。
集積回路において超伝導金属層を堆積させる方法は、超伝導金属層の第1の部分を堆積させる工程と、過剰加熱を防ぐため、超伝導金属層の第1の部分の堆積を停止する工程と、超伝導金属層を冷却する工程と、超伝導金属層の第1の部分を覆って超伝導金属層の第2の部分を堆積させる工程とを含むものとして要約することができる。本方法は、過剰加熱を防ぐため、超伝導金属層の第2の部分の堆積を停止する工程と、超伝導金属層を冷却する工程と、超伝導金属層の第2の部分を覆って超伝導金属層の第3の部分を堆積させる工程とをさらに含み得る。
多層の超伝導集積回路において複数の層を位置合わせする方法は、少なくとも1つのアライメントマークを含むように第1の超伝導金属層をパターニングする工程と、第1の超伝導金属層を覆って第1の誘電体層を堆積させる工程と、少なくとも1つのアライメントマークを露出させるように第1の誘電体層をパターニングする工程と、少なくとも1つのアライメントマークの刻印が第2の超伝導金属層の露出表面上に形成されるように第1の誘電体層を覆って第2の超伝導金属層を堆積させる工程と、フォトレジストマスクを第2の超伝導金属層上の少なくとも1つのアライメントマークの刻印に位置合わせする工程とを含むものとして要約することができる。本方法は、第2の超伝導金属層を覆ってフォトレジストマスクを堆積させる工程をさらに含み得る。
超伝導集積回路を製作する方法は、第1の超伝導金属層を堆積させる工程と、第1の超伝導金属層を覆って超伝導保護キャッピング層を堆積させる工程と、第1の超伝導金属層および第1の超伝導金属層を覆う超伝導保護キャッピング層の両方をパターニングする工程と、パターニング済みの超伝導保護キャッピング層を覆って誘電体層を堆積させる工程と、超伝導保護キャッピング層または第1の超伝導金属層のうちの少なくとも1つの一部分を露出させるため、誘電体層を通り抜ける穴をエッチングする工程と、第2の超伝導金属層の少なくとも一部分が、誘電体層を通り抜ける穴を少なくとも部分的に充填し、超伝導保護キャッピング層または第1の超伝導金属層のうちの少なくとも1つとの超伝導電気接続を形成するように、誘電体層を覆って第2の超伝導金属層を堆積させる工程とを含むものとして要約することができる。第1の超伝導金属層を覆って超伝導保護キャッピング層を堆積させる工程は、第1の超伝導金属層を覆って窒化チタン層を堆積させる工程を含み得る。
超伝導集積回路は、第1のパターニング済みの超伝導金属層と、第1のパターニング済みの超伝導金属層を覆って配置された超伝導保護キャッピング層であって、第1のパターニング済みの超伝導金属層のパターンと一致するようにパターニングされる、超伝導保護キャッピング層と、超伝導保護キャッピング層を覆って配置された誘電体層と、誘電体層を覆って配置された第2のパターニング済みの超伝導金属層と、誘電体層を通じて延在し、第2のパターニング済みの超伝導金属層の一部分を超伝導保護キャッピング層の一部分または第1の超伝導金属層の一部分のうちの少なくとも1つと超伝導的に電気的に結合する超伝導ビアとを含むものとして要約することができる。超伝導保護キャッピング層は、窒化チタンを含み得る。
ジョセフソン接合五層を製作する方法は、第1の超伝導金属層を堆積させる工程と、第1の超伝導金属層を覆って第1の絶縁バリアを堆積させる工程であって、第1の絶縁バリアは第1の厚さを有する、工程と、第1の絶縁バリアを覆って第2の超伝導金属層を堆積させる工程と、第2の超伝導金属層を覆って第2の絶縁バリアを堆積させる工程であって、第2の絶縁バリアは、第1の絶縁バリアの第1の厚さとは異なる第2の厚さを有する、工程と、第2の絶縁バリアを覆って第3の超伝導金属層を堆積させる工程とを含むものとして要約することができる。第2の超伝導金属層を覆って第2の絶縁バリアを堆積させる工程であって、第2の絶縁バリアは、第1の絶縁バリアの第1の厚さとは異なる第2の厚さを有する、工程は、第2の超伝導金属層を覆って第2の絶縁バリアを堆積させる工程であって、第2の絶縁バリアは、第1の絶縁バリアの第1の厚さより大きい第2の厚さを有する、工程を含み得る。
超伝導集積回路は、ジョセフソン接合五層であって、第1の超伝導金属層、第1の厚さを有する第1の絶縁バリアであって、第1の超伝導金属層を覆って配置される、第1の絶縁バリア、第1の絶縁バリアを覆って配置された第2の超伝導金属層、第2の厚さを有する第2の絶縁バリアであって、第2の超伝導金属層を覆って配置される、第2の絶縁バリア、および、第2の絶縁バリアを覆って配置された第3の超伝導金属層を含むジョセフソン接合五層と、ジョセフソン接合五層を覆って配置された誘電体層と、誘電体層を覆って配置された超伝導配線層と、超伝導配線層の少なくとも一部分をジョセフソン接合五層の少なくとも一部分と超伝導的に電気的に結合する少なくとも1つの超伝導ビアとを含むものとして要約することができる。第2の絶縁バリアの第2の厚さは、第1の絶縁バリアの第1の厚さより大きいものであり得る。ジョセフソン接合五層の少なくとも第1の部分は、第3の超伝導金属層の第1の部分と、第2の絶縁バリアの第1の部分と、第2の超伝導金属層の第1の部分と、第1の絶縁バリアの第1の部分と、第1の超伝導金属層の第1の部分とを含む第1のジョセフソン接合であって、少なくとも1つの超伝導ビアは、第2の超伝導配線層の第1の部分を第3の超伝導金属層の第1の部分と超伝導的に電気的に結合することができる、第1のジョセフソン接合を形成するようにパターニングすることができる。ジョセフソン接合五層の少なくとも第2の部分は、第2の超伝導金属層の第2の部分と、第1の絶縁バリアの第2の部分と、第1の超伝導金属層の第2の部分とを含む第2のジョセフソン接合であって、少なくとも1つの超伝導ビアは、第2の超伝導配線層の第2の部分を第2の超伝導金属層の第2の部分と超伝導的に電気的に結合することができる、第2のジョセフソン接合を形成するようにパターニングすることができる。ジョセフソン接合五層の少なくとも第1の部分は、第2の超伝導金属層の第1の部分と、第1の絶縁バリアの第1の部分と、第1の超伝導金属層の第1の部分とを含む第1のジョセフソン接合であって、少なくとも1つの超伝導ビアは、第2の超伝導配線層の第1の部分を第2の超伝導金属層の第1の部分と超伝導的に電気的に結合することができる、第1のジョセフソン接合を形成するようにパターニングすることができる。
図面では、同一の参照番号は、同様の要素または行為を特定する。図面の要素のサイズおよび相対位置は、必ずしも原寸に比例するとは限らない。例えば、様々な要素の形状および角度は原寸に比例しておらず、これらの要素のいくつかは、図面を読み易くするために任意に拡大および位置付けされる。さらに、描かれている要素の特定の形状は、特定の要素の実際の形状に関するいかなる情報を伝えることも意図せず、図面における認識を容易にするために、単に選択されただけである。
示される一実施形態による、パターニングされていない三層を含む、超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、パターニング済みの三層および対電極を含む、さらなる加工処理動作後の図1Aの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、個々のジョセフソン接合を含む、さらなる加工処理動作後の図1Bの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、ニオブ/酸化アルミニウム/ニオブ三層からジョセフソン接合を製作する方法を示すフロー図である。 示される一実施形態による、ニオブ/酸化アルミニウム/ニオブ三層を製作する方法を示すフロー図である。 示される一実施形態による、ニオブ/酸化アルミニウム/ニオブ三層を形成する方法を示すフロー図である。 示される一実施形態による、保護キャップでカバーされたジョセフソン接合を含む、超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、三層ジョセフソン接合を覆って保護キャップを堆積させる方法を示すフロー図である。 示される一実施形態による、ハイブリッド誘電体層を含む、超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、ハイブリッド誘電体を堆積させる方法を示すフロー図である。 示される一実施形態による、減法的パターニングプロセスのマスキング段階の間の超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、減法的パターニングプロセスのエッチング段階後の図9Aの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、減法的パターニングプロセスの誘電体堆積段階後の図9Bの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、減法的パターニングプロセスの誘電体平担化段階後の図9Cの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、第2の超伝導金属層を堆積させた後の図9Dの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスのマスキング段階の間の超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスのエッチング段階後の図10Aの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスの金属堆積段階後の図10Bの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスの金属平担化段階後の図10Cの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、誘電体層を堆積させた後の図10Dの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、エッチング停止層を堆積させた後の図10Eの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、誘電体層を堆積させた後の図10Fの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、誘電体層を覆ってネガ型フォトレジストマスクを堆積させた後の図10Gの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスのエッチング段階後の図10Hの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスの金属堆積段階後の図10Iの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスの金属平担化段階後の図10Jの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、ILD厚さ制御の改善を実現するために超伝導集積回路製作プロセスにおいて加法的パターニング技法を使用する方法を示すフロー図である。 示される一実施形態による、超伝導デュアルダマシンプロセスのビアマスキング段階の間の超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスの誘電体エッチング、金属堆積および金属平担化/研磨段階後の図12Aの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、エッチング停止層および誘電体層を堆積させた後のデュアルダマシンプロセスの配線マスキング段階の間の図12Bの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、加法的パターニングプロセスの配線エッチング段階後の図12Cの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、デュアルダマシンプロセスのビアマスキング段階の間の図12Dの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、デュアルダマシンプロセスのビアエッチング段階後の図12Eの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、超伝導金属堆積および平担化を完了した後の図12Fの超伝導集積回路の一部分の部分断面立面図である。 示される一実施形態による、超伝導デュアルダマシンプロセスを実装する方法を示すフロー図である。 示される一実施形態による、超伝導集積回路における使用のための基板の一部分の部分断面立面図である。 示される一実施形態による、複数段階の金属堆積を実行する方法を示すフロー図である。 示される一実施形態による、超伝導金属層のアライメントマークの刻印を示す、集積回路の一部分の部分断面立面図である。 示される一実施形態による、オープンフレームおよびマッチング技法を使用することなく、多層の超伝導集積回路の複数の層を位置合わせする方法を示すフロー図である。 示される一実施形態による、非垂直側壁を有する超伝導ビアを示す、例示的な超伝導集積回路の一部分の断面図である。 本システムおよび方法による、超伝導ビアを形成するための方法を示す。 本システムおよび方法による、超伝導金属層を覆って超伝導保護キャッピング層を含む、超伝導集積回路の一部分の断面図である。 本システムおよび方法による、ジョセフソン接合五層を含む、超伝導集積回路の一部分の断面図である。 本システムおよび方法による、例示的な超伝導集積回路の一部分の断面図である。 本システムおよび方法による、ジョセフソン接合五層を形成するための方法を示す。
以下の説明では、開示される様々な実施形態の徹底的な理解を提供するため、ある特定の詳細が記載される。しかし、当業者であれば、これらの特定の詳細の1つもしくは複数がなくとも、または、他の方法、コンポーネント、材料などを用いて、実施形態を実践できることが認識されよう。他の例では、超伝導性回路もしくは構造、量子コンピュータ回路もしくは構造、および/または、希釈冷凍機などの極低温冷却システムと関連付けられる周知の構造は、実施形態の説明を不要に曖昧にすることを避けるため、詳細に示すことも、説明することもしない。
文脈上他の意味に解すべき場合を除き、本明細書および以下に続く特許請求の範囲全体を通じて、「備える、含む(comprise)」という用語ならびに「備える、含む(comprises)」および「備える、含む(comprising)」などのその変形語は、制限のない包括的な意味で、すなわち、「これらに限定されないが、~を含む(including,but not limited to)」として解釈されたい。
この明細書全体を通じて、「一実施形態」または「実施形態」への言及は、その実施形態に関連して説明される特定の特徴、構造または特性が、少なくとも1つの実施形態に含まれることを意味する。したがって、この明細書全体を通じて、様々な場所における「一実施形態では」または「実施形態では」という語句の出現は、必ずしもすべてが同じ実施形態を言及するとは限らない。その上、特定の特徴、構造または特性は、1つまたは複数の実施形態において、いかなる適切な方法でも組み合わせることができる。
この明細書および添付の特許請求の範囲で使用される場合、「a」、「an」および「the」などの単数形は、文脈上明らかにそうでないことを示さない限り、複数の指示対象を含む。「または(or)」という用語は、文脈上明らかにそうでないことを示さない限り、「および/または(and/or)」を含む意味で一般的に使用されることにも留意すべきである。
この明細書および添付の特許請求の範囲で使用される場合、「によって支えられる(carried by)」、「上で支えられる(carried on)」という用語またはその変形語、ならびに、同様に、「を覆って(over)」および「の上方に(above)」という用語は、ある構造が、少なくともいくつかの例において、別の構造によって直接または間接的に支えられていることを意味し、例えば、その表面上に直接、1つもしくは複数の介在層もしくは構造によってその表面の上方もしくは下方に離間して、または、その中に位置することを意味する。
本明細書で提供される開示の見出しおよび要約は、便宜のためにのみ付されているものであり、実施形態の範囲または意味を解釈するものではない。
特定の文脈上他の意味に解すべき場合を除き、この明細書全体を通じて、「堆積する(deposit)」、「堆積させた(deposited)」、「堆積(deposition)」という用語および同様のものは、一般に、これらに限定されないが、物理蒸着(PVD)、化学蒸着(CVD)、プラズマ助長PVD、プラズマ助長CVDおよび原子層堆積(ALD)を含む、材料堆積のいかなる方法も包含するように使用される。
本明細書に記載される様々な実施形態は、超伝導集積回路を製作するためのシステムおよび方法を提供する。以前に説明されるように、当技術分野では、超伝導集積回路は、通常、半導体製作産業において従来使用された多くの同じツールおよび技法を使用して製作されるにもかかわらず、超伝導集積回路は、最先端の半導体製作施設外の研究環境で製作される傾向にある。超伝導回路に特有の論点により、半導体プロセスおよび技法は、一般に、超伝導体チップおよび回路製作における使用のために修正する必要がある。そのような修正は、通常、明らかではなく、何らかの実験を必要とし得る。
ジョセフソン接合は、超伝導集積回路の共通要素である。物理的には、ジョセフソン接合は、そうでなければ連続したものである超伝導電流経路における小さな遮断物であり、通常、2つの超伝導電極間に挟まれた薄い絶縁バリアによって実現される。超伝導集積回路では、ジョセフソン接合は、通常、超伝導対電極で覆われた薄い絶縁層で覆われた超伝導ベース電極を備える積層体として製作される。したがって、ジョセフソン接合は、通常、3つの層または「三層」構造として形成される。三層は、ウエハ全体を覆って完全に堆積させることができ(すなわち、金属配線および誘電体層を堆積させるのと同じ方法で)、次いで、個々のジョセフソン接合を画定するようにパターニングすることができる。
図1Aは、パターニングされていない三層110を含む、超伝導集積回路100aの一部分の断面図を示す。三層110は、基板130上で支えられ、例えば、ニオブNbで形成された超伝導ベース電極111と、例えば、酸化アルミニウムAlOxで形成された絶縁バリア112と、例えば、ニオブNbで形成された超伝導対電極113とを備える。基板130は、シリコン、サファイア、石英、シリコン酸化物または任意の同様の適切な材料を含み得る。いくつかの実施形態では、ニオブベース電極111の上面は、アルミニウムの薄層(図示せず)でカバーすることができ、その上に、酸化アルミニウム層112を成長させる(したがって、「三層」は、実際には、4つの層、すなわち、ニオブベース電極、アルミニウム層、アルミニウム層上に成長させた酸化アルミニウム層およびニオブ対電極を備え得る)。三層110は、個々のジョセフソン接合を形成するように、例えば、リソグラフィフォトレジストマスキングおよびプラズマエッチングプロセスによってパターニングすることができる。いくつかの応用では、対電極113は、個々の接合を画定するようにパターニングすることができ、ベース電極111は、接合間の電気接続を提供する配線層として使用することができる。対電極113のパターニングの間、酸化アルミニウム層112は、エッチング停止として使用することができ、ニオブの対電極113は、酸化アルミニウム層112を通り抜けてエッチングされない化学を使用してエッチングすることができる。
図1Bは、パターニング済みの三層110を含む、超伝導集積回路100bの一部分の断面図を示す。図1Bは、酸化アルミニウム層112をエッチング停止として使用しながら、個々のジョセフソン接合121および122を画定するように対電極113がエッチングされた後の図1Aからの超伝導集積回路100aについて描写する。誘電体層140(例えば、シリコン酸化物を含み得る)もまた、三層110を覆って堆積させている。酸化アルミニウム層112をエッチング停止として使用すると、個々のジョセフソン接合121および122の外側の酸化アルミニウム層112の領域は、留置することができる(すなわち、エッチングされない)。しかし、出願人は、ジョセフソン接合121および122ならびに/またはベース電極111の超伝導配線の雑音に対する感度が特に高い応用では(例えば、超伝導量子プロセッサなどの超伝導量子ビットを使用する応用では)、ニオブベース電極111と酸化アルミニウム層112との間の界面および/または酸化アルミニウム層112と誘電体層140との間の界面は、望まない不要な雑音をシステムに導入する恐れがあることを認識している。本システムおよび方法によれば、個々のジョセフソン接合121および122の外側の酸化アルミニウム層112の領域を取り除き、それにより、集積回路構造の材料界面の総数を低減することによって、そのような雑音を回避することができる。
図1Cは、本システムおよび方法による、パターニング済みの三層110を含み、パターニング済みの三層110から余分な酸化アルミニウム112が取り除かれた、超伝導集積回路100cの一部分の断面図を示す。図1Cは、酸化アルミニウム層112をエッチング停止として使用することなく、個々のジョセフソン接合121および122を画定するように対電極113がエッチングされた後の図1Aからの超伝導集積回路100aについて描写する。したがって、集積回路100cは、集積回路100cでは個々のジョセフソン接合121および122の外側の酸化アルミニウム層112の領域がエッチングで取り出されているという点で集積回路100bとは異なる。個々のジョセフソン接合121および122の外側の酸化アルミニウム層112の領域は、例えば、酸化アルミニウム層112をエッチング停止として使用しない化学エッチングを使用することによって、ニオブ対電極113のパターニングおよびエッチングの間に、エッチングで取り出すことができる。ニオブのエッチングにはSFを使用することができ、アルミニウムのエッチングにはBCl、ClおよびNの組合せを使用することができる。本システムおよび方法によれば、ニオブ対電極113は、SF、BCl、Clおよび/またはNの組合せを使用してエッチングすることができるが、その理由は、そのような化学エッチングが個々のジョセフソン接合121および122の外側の酸化アルミニウム層112の領域も取り除くことができるためである。個々のジョセフソン接合のエッチングの間の酸化アルミニウムの除去は、超伝導集積回路の材料界面の数を低減することができ、その結果、そうでなければ回路性能に悪影響を及ぼす恐れがある雑音を低減することができる。本システムおよび方法によれば、個々のジョセフソン接合121および122の外側の酸化アルミニウム層112の領域は、酸化アルミニウムの除去用に特に設計された別個のエッチングを使用することによってジョセフソン接合121および122が画定された後の別個のプロセス行為または動作でも取り除くことができる。
その上、本システムおよび方法によれば、ニオブのエッチングを伴ういかなる超伝導製作プロセスも(プロセスがジョセフソン接合のパターニングおよび/または酸化アルミニウム層の除去を行うものでなくとも)、SF、BCl、Clおよび/またはNの組合せを使用する修正されたニオブ化学エッチングから利益を得ることができるが、その理由は、そのようなものにより、SF単体によるエッチングと比べて、より滑らかで、より平坦なニオブ表面プロファイル、具体的には、より滑らかで、より平坦なニオブ側壁が得られるためである。
ジョセフソン接合製作の間に余分な酸化アルミニウムを取り除くプロセス(すなわち、図1Aから図1Cに進む際)は、図2で要約される。図2は、本システムおよび方法による、ニオブ/酸化アルミニウム/ニオブ三層からジョセフソン接合を製作する方法200を示す。方法200は、3つの行為201~203を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。201では、例えば、以前に説明された技法を使用して、Nb-AlOx-Nb三層を堆積させる。202では、三層を覆ってまたは三層の上部にフォトレジストマスクパターンを堆積させる。フォトレジストマスク層は、三層のいくつかの部分をカバーし、三層の他の部分をカバーしないままにすることができる。カバーしない三層のそれらの部分は、エッチングプロセスの間にエッチングで取り出されるが(行為203を参照)、カバーした三層のそれらの部分は、エッチングプロセス後も残留する。203では、フォトレジストマスクによって画定されたパターンを三層にエッチングし、少なくとも1つのジョセフソン接合を形成する。ジョセフソン接合が形成される場所では、酸化アルミニウムの一部分は、ニオブ金属の2つの部分(すなわち、ベース電極を覆うパターニング済みの対電極)間に挟まれる。ジョセフソン接合が形成されない場所では、三層のニオブ対電極層がエッチングで取り出され(すなわち、剥ぎ取られ)、剥ぎ取られた対電極下に横たわる酸化アルミニウムの少なくとも一部分も、少なくとも1つのジョセフソン接合の一部ではない余分な酸化アルミニウムが取り除かれるように、エッチングで取り出される。
ニオブエッチングを使用するプロセスでは、フォトレジストマスクは、通常、ニオブエッチングが完了した後に取り除く必要がある。ニオブのエッチングに使用される化学エッチングは、フォトレジストマスクを意図的にエッチングすることができないか、または、プロセスは、ニオブのパターニングに失敗するであろう。しかし、例えば、回路に追加された後続のニオブ層にビア接続を行うことを可能にするため、フォトレジストマスクはやはり、通常、ニオブエッチングが完了した時点で取り除く必要がある(例えば、追加のニオブ層は、エッチングされたニオブ層上で支えられる)。当技術分野では、フォトレジストマスク(およびフォトレジストマスクとニオブ自体との相互作用によって形成することができる関連高分子)は、通常、Oプラズマエッチング/衝撃プロセスを介して剥ぎ取られる。しかし、Oプラズマ単独では、ニオブ金属へのフォトレジストマスクの付着から生じた高分子のいくつかの除去に十分ではない場合がある。本システムおよび方法によれば、修正されたフォトレジストストリッピングプロセスは、ニオブ金属の表面からフォトレジストマスク残留物(例えば、フォトレジストマスクとニオブ金属との相互作用によって形成された高分子)を確実に取り除くため、CFおよびOプラズマの組合を使用することができる。
Nb-AlOx-Nb三層の製作では、ニオブの第1の層を堆積させ、ニオブの第1の層を覆ってアルミニウムの薄層を堆積させることができる。次いで、アルミニウムの上面で酸化アルミニウム層を成長させるため、アルミニウムがOガスに暴露される。通常、この段階で、非常に特異な均一の酸化アルミニウム厚さを生成することが望ましい。AlOx層の厚さは、最終的には、結果として得られる超伝導集積回路のいかなるジョセフソン接合の臨界電流にも影響を及ぼし、したがって、重要な製作パラメータである。このプロセスでは、AlOx層の厚さは、Oガスへの暴露の持続時間、Oガスの濃度、温度、圧力などを含むいくつかのパラメータによって決定される。したがって、O濃度、圧力、温度などを考慮して、所望のAlOx厚さを生成するため、O暴露時間が計算される。計算されたO暴露時間が経過した時点で、Nb-AlOx-Nb三層を完成するため、酸化アルミニウム層を覆ってニオブの第2の層を堆積させる。Nb-AlOx-Nb三層を製作するためのこのプロセスは、定着しているが、最終的には、生成されるAlOx層の厚さの限られた制御を提供する。AlOx厚さは、多くの入力を伴う計算を通じて間接的に決定され、これらの入力の各々は、結果として得られる生成されるAlOxの厚さに影響を及ぼす何らかの不確実性を持っている。例えば、圧力、温度、O濃度、O暴露時間などのいかなる変動も、生成されるAlOx層の厚さに影響を及ぼす。それに従って、当技術分野において、AlOx厚さ制御の改善を達成するNb-AlOx-Nb三層を製作する方法に対する必要性が残っている。
本システムおよび方法によれば、Nb-AlOx-Nb三層の製作におけるAlOx厚さ制御の改善は、原子層堆積を介して酸化アルミニウム層を直接堆積させることによって達成することができる。図3は、本システムおよび方法による、ニオブ/酸化アルミニウム/ニオブ三層を製作する方法300を示す。方法300は、3つの行為301~303を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。301では、化学蒸着、物理蒸着または同様のものなどの標準の堆積プロセスを介してニオブの第1の層を堆積させる。ニオブは、基板を覆って、または、集積回路の他の任意の層を覆って(誘電体層を覆ってまたは別の金属層を覆ってなど)、堆積させることができる。ニオブの上面は、好ましくは、滑らかで、実質的に均一なものである。堆積プロセス単独の間に所望の滑らかさが達成できなければ、化学機械平坦化プロセス(例えば、CMP)を介して、ニオブの上面を平坦化および/または研磨することができる。302では、原子層堆積を介して、第1のニオブ層の滑らかな上面を覆って酸化アルミニウム層を堆積させる。原子層堆積は、当技術分野で知られ、上記で説明されるO暴露プロセスと比べて、酸化アルミニウム層の能動的な構築を可能にし、酸化アルミニウム層の厚さの制御の改善を可能にすることができる。いくつかの実施形態では、ニオブ層への酸化アルミニウム層の付着は、最初に、ニオブ層の滑らかな上面を覆って薄いアルミニウム層を堆積させ(例えば、CVD、PVDまたはALDを介して)、次いで、原子層堆積を介して、薄いアルミニウム層(そのような薄いアルミニウム層は、必要に応じて、滑らかさを向上させるために平坦化または研磨することができる)を覆って酸化アルミニウム層を堆積させることによって、増加させることができる。303では、標準の堆積プロセス(例えば、CVDまたはPVD)を介して、酸化アルミニウム層を覆ってニオブの第2の層を堆積させる。第2のニオブ層の堆積は、Nb-AlOx-Nb三層を完成し、Nb-AlOx-Nb三層を使用して、超伝導集積回路において1つまたは複数のジョセフソン接合を形成することができる。
三層堆積(具体的には、酸化アルミニウム堆積/成長プロセス)は、温度に対する感度が特に高い。ウエハ全体にわたる不均一な温度(例えば、温度勾配)の存在は、ウエハ全体にわたる不均一な酸化アルミニウム厚さをもたらし得る。そのような不均一な温度は、加熱プロセスおよび/または冷却プロセスの間も同じように生じ得る。例えば、ウエハは、酸化アルミニウム堆積プロセスの間に加熱することができ、後続のニオブ堆積プロセスの前に冷却することができる。この冷却の間、酸化アルミニウム層は、形成および成長を続けることができる。したがって、三層製作プロセスの酸化アルミニウム堆積/成長と後続のニオブ堆積との間で実質的に均一なウエハの冷却を確保することが望ましい。本システムおよび方法によれば、そのような冷却の間の均一性は、ウエハ全体にわたる実質的に均一な圧力を有する熱化媒体を提供するため、堆積チャンバを不活性ガス(例えば、アルゴン)で満たすことによって、強化することができる。いくつかの実施形態では、三層は、同じチャンバ内の複数のウエハ上に同時に堆積させることができ、不活性な冷却ガス(例えば、アルゴン)でチャンバを満たすことにより、複数のウエハ全体にわたる温度の均一性を向上させることができる。
図4は、本システムおよび方法による、ニオブ/酸化アルミニウム/ニオブ三層を形成する方法400を示す。方法400は、4つの行為401~404を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。401では、ウエハを覆ってニオブのベース層を堆積させる。ニオブは、CVD、PVD、ALDおよび同様のものを含む任意の公知の堆積技法を介して堆積させることができる。堆積は、密封されたチャンバ内で行うことができる。402では、ニオブベース層を覆って酸化アルミニウム層を堆積させる。いくつかの実施形態では、「酸化アルミニウム層を堆積させること」は、ニオブベース層上に直接、アルミニウムの薄層を堆積させ、次いで、アルミニウムの薄層上で酸化アルミニウム層を成長させる(例えば、アルミニウム層を酸素ガスに暴露することによって)ことを含み得る。403では、チャンバを不活性ガス(例えば、アルゴンなど)の実質的に均一な圧力で満たす。不活性ガスは、それを通じて熱エネルギーが消散し得る媒体を提供し、酸化アルミニウム層を冷却する際にウエハ(具体的には、ウエハの表面上に位置する酸化アルミニウム層)が実質的に均一な温度を有することを保証する。404では、不活性ガスをチャンバからポンピングで取り出し、酸化アルミニウム層を覆ってニオブの最上層を堆積させる。行為403の均一な冷却プロセスは、ウエハ全体にわたる酸化アルミニウム厚さの均一性を向上させることができる。
ジョセフソン接合は、図1A~1Cに記載されるように、対電極をパターニングすることによって、Nb-AlOx-Nb三層で形成することができる。米国特許出願公開第2011-0089405号明細書(その全体が参照により本明細書に組み込まれる)は、ジョセフソン接合対電極の上部を覆ってキャップ(例えば、シリコン窒化物SiNで形成される)を堆積させることによって、形成されたジョセフソン接合を後続の加工処理行為から保護することについてさらに説明している。図5は、保護キャップ520でカバーされたジョセフソン接合510を含む、超伝導集積回路500の一部分の断面図を示す。米国特許出願公開第2011-0089405号明細書に記載されるように、キャップ520は、例えば、シリコン窒化物、水素化非晶質シリコン、有機高分子誘電材料または同様の誘電材料で形成することができる。ジョセフソン接合510は、ベース電極511(ニオブなどの超伝導金属で形成される)と、絶縁バリア512(例えば、酸化アルミニウムで形成される)と、対電極513(ニオブなどの超伝導金属で形成される)とを含む。超伝導集積回路500のキャップ520の堆積における課題は、キャップ材料を酸化アルミニウム層512に付着させることがあまりうまくできないことである。この課題は、以前に説明され、図1Cに示されるように(図1Bと比較して)、余分な酸化アルミニウムをエッチングで取り出すことによって克服することができる。しかし、余分な酸化アルミニウムの除去が実用的でない回路では、キャップ520と酸化アルミニウム層512との間の付着性は、特に、湿気や、酸化アルミニウム層512の露出表面を汚染し得る他のいかなる粒子も取り除くために、酸化アルミニウム層512の上面の事前洗浄を行うことによって向上させることができる。この事前洗浄は、例えば、酸化アルミニウム層512の露出表面をイオンで連打すること、および/または、軽度の異方性低圧エッチングを使用することを含み得る。
図6は、本システムおよび方法による、三層ジョセフソン接合を覆って保護キャップを堆積させる方法600を示す。方法600は、4つの行為601~604を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。601では、以前に説明されるように、三層を堆積させる(例えば、ウエハを覆って、誘電体層の表面を覆ってまたは金属層の表面を覆ってなど)。三層は、例えば、Nb/AlOx/Nb三層を含み得る。602では、以前に説明されるように、例えば、リソグラフィプロセスによって、三層をパターニングする。603では、本システムおよび方法に従って、パターニング済みの三層の露出表面(例えば、上面)を事前洗浄する。三層の露出表面は、超伝導金属表面(すなわち、ニオブ対電極表面)と絶縁バリア表面(すなわち、酸化アルミニウム表面)の両方を含み得る。以前に説明されるように、この事前洗浄は、例えば、酸化アルミニウム層の露出表面をイオンで連打すること、および/または、軽度の異方性低圧エッチングを使用することを含み得る。604では、三層を覆って保護キャップを堆積させる。キャップは、例えば、シリコン窒化物を含み得、後続の加工処理において劣化することから三層(具体的には、酸化アルミニウム層)を保護する上で役立ち得る。603での事前洗浄は、キャップと酸化アルミニウム層との間の付着性を向上させることができる。
上記で説明されるキャップ520は、後続の加工処理動作からジョセフソン接合(特に、酸化アルミニウム層512)を保護するため、ジョセフソン接合(510)上を覆う材料(例えば、SiN)の層を備える。本システムおよび方法によれば、同様の「キャッピング」技法を使用して、誘電体層(例えば、シリコン酸化物)に存在し得る酸化物から配線層を守ることによって超伝導集積回路の雑音を低減すること、および/または、酸化物誘電体層(例えば、シリコン酸化物)の堆積の間に、配線層の酸化を防ぐことができる。例えば、ハイブリッド誘電体を使用して、誘電体層の上方と下方の両方の非酸化物キャップ(SiNなど)間に金属配線層を効果的に挟むことができる。
図7は、本システムおよび方法による、ハイブリッド誘電体層710および720を含む、超伝導集積回路700の一部分の断面図を示す。超伝導集積回路700は、金属配線層730および740を含み、その各々は、ニオブまたはアルミニウムなどの超伝導材料で形成されたパターニング済みの導体を含む。ハイブリッド誘電体層710は、それ自体が、3つの層、すなわち、非酸化物誘電材料のベース層711(例えば、SiN)、シリコン酸化物層712および非酸化物誘電材料の最上層713(例えば、SiN)からなる。ハイブリッド誘電体層720も同様に、3つの層、すなわち、非酸化物誘電材料のベース層721(例えば、SiN)、シリコン酸化物層722および非酸化物誘電材料の最上層723(例えば、SiN)からなる。非酸化物誘電体層711は、シリコン酸化物層712から金属配線層730を守る。同様に、非酸化物誘電体層713および721は、シリコン酸化物層712および722からそれぞれ金属配線層740を守る。したがって、ハイブリッド誘電体層710および720は、シリコン酸化物層712および722に存在する酸化物から金属配線層730および740をそれぞれ隔離することを可能にし、したがって、超伝導集積回路700における雑音の低減に役立ち得る。同様に、ハイブリッド誘電体層710および720は、シリコン酸化物層712および722の堆積の間に金属配線層730および740のそれぞれの酸化を防ぐ上でも役立つ。当業者であれば、集積回路700の回路の細部は単なる例示であり、ジョセフソン接合(例えば、三層)および/または金属配線層間のビア接続を含む超伝導集積回路において同様のハイブリッド誘電体の加工処理を使用できることが理解されよう。
図8は、本システムおよび方法による、ハイブリッド誘電体を堆積させる方法800を示す。方法800は、3つの行為801~803を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。801では、第1の誘電材料を含む第1の層を堆積させる。第1の誘電材料は、シリコン窒化物などの非酸化物誘電体を含み得、第1の層は、CVD、PVDおよび/またはALDを含む任意の堆積プロセスによって堆積させることができる。第1の層は、例えば、集積回路の金属層の上部にまたは集積回路の金属層を覆って堆積させることができる。802では、第1の層の上部にまたは第1の層を覆って、第2の誘電材料を含む第2の層を堆積させることができる。第2の誘電材料は、シリコン酸化物などの酸化物誘電体を含み得、第2の層は、CVD、PVDおよび/またはALDを含む任意の堆積プロセスによって堆積させることができる。803では、第2の層の上部にまたは第2の層を覆って、第1の誘電材料を含む第3の層を堆積させることができる。いくつかの実施形態では、第3の層は、非酸化物誘電体である第3の誘電材料を含み得る。いくつかの実施形態では、少なくとも1つの層は、堆積させた後、別の層をその上に堆積させる前に、研磨または平坦化することができる。例えば、第1の層は、第2の層をその上に堆積させる前に、研磨または平坦化することができる。いくつかの実施形態では、第3の層を覆って金属層(例えば、超伝導金属層)を堆積させることができる。集積回路が追加の金属層を含む場合は、各金属層は、方法800によって形成されたそれぞれのハイブリッド誘電体によって、上金属層および/または下金属層から分離することができる。
半導体産業では、標準のフォトレジストマスキングおよびプラズマエッチング技法によって直接パターニングすることができない材料を加工処理するため、「加法的パターニング」または「ダマシン」加工処理として知られているプロセスが開発されている。例えば、銅相互接続(例えば、アルミニウム相互接続とは対照的に)を使用する半導体集積回路は、通常、この加法的パターニング手法によって製作されるが、その理由は、銅が標準のフォトレジストマスキングおよびプラズマエッチング技法に不適合であるためである。銅は、いくつかの半導体応用においてアルミニウムより好ましい場合があるが、その理由は、銅がアルミニウムより優れた導体であるためであり、これは、銅回路が、より少ないエネルギーを使用し、より小さなコンポーネントを含むことができることを意味する。
加法的パターニングでは、下層の誘電体層は、オープンの特徴(例えば、溝)でパターニングされ、次いで、導体の厚層がパターンのオープンの特徴を完全に充填するように、誘電体を覆って導体の厚層を堆積させる。次いで、化学機械平坦化/研磨(CMP)を使用して、下層の誘電体の最上部の高さまで余分な導体が取り除かれる。その結果として、導体自体に直接パターンをエッチングする従来の手法とは対照的に、誘電体のパターンを充填することによって、パターニング済みの導体が生成される。言い換えれば、「加法的パターニング」は、導体を既存のパターンに加えるプロセスである。逆に、標準のフォトレジストマスキングおよびプラズマエッチング技法は、導体の部分を除き取って(すなわち、エッチングで取り出して)パターンを生成する「減法的パターニング」を提供する。
上記で説明されるように、加法的パターニングは、半導体産業において、標準のフォトレジストマスキングおよびプラズマエッチング技法に適合しない材料(例えば、銅)をパターニングするために使用される。本システムおよび方法によれば、半導体産業のものとは完全に異なる理由によって動機付けられているが、いくつかの点で類似している技法を、超伝導集積回路の製作で使用することができる。
多層の集積回路(半導性または超伝導性)では、導電配線の連続層は、通常、内層誘電体(「ILD」)によって互いに分離される。ILDは、隣接する導電層を電気的に絶縁しながら、回路全体に対する構造的な支持体を提供する。ILDの厚さは、回路の2つの隣接する導電層間の距離を決定し、この距離は、特に、隣接する導電層間の誘導および容量結合に影響を及ぼす。半導体集積回路では、隣接する導電層間の誘導および容量結合は、通常、重要な設計特徴ではない。逆に、超伝導集積回路では、導電層間の誘導および/または容量結合は、回路設計の重要な特徴であり得る。超伝導集積回路は、磁束量子(例えば、単一磁束量子論理を介して)の形態で信号を伝播するように設計される場合が多く、これらの磁気信号を伝達するために意図的な誘導結合を使用する場合が多い。これらの意図的な誘導結合は、回路の隣接する導電層間に存在し得、したがって、それらの結合の強度は、対応するILD厚さに依存する。また、磁気信号を操作する回路は、配線要素と回路要素との間の意図しない誘導結合に対する感度が特に高く、「クロストーク」と呼ばれる場合が多い。望まないクロストークの回避および/または最小化は、超伝導集積回路設計の重要な態様である。ILDの厚さ全体にわたる不十分な制御は、回路性能を劣化させるかまたは完全に抑制する配線層間のクロストークを引き起こし得る。少なくともこれらの理由で、超伝導集積回路のいくつかの実装形態は、ILD厚さ制御の改善から大幅に利益を得ることができる。
本システムおよび方法によれば、ILD厚さ制御の改善は、超伝導集積回路の製作において、加法的パターニングまたはダマシン製作プロセスを使用することによって達成することができる。超伝導加法的パターニングプロセスの独特の特徴を明確にするため、典型的な標準の減法的パターニングプロセスについて最初に説明する。
図9Aは、減法的パターニングプロセスのマスキング段階の間の例示的な超伝導集積回路900aの一部分の断面図である。集積回路900aは、基板930(例えば、シリコン、シリコン酸化物、サファイアまたは同様の物質で形成される)と、超伝導金属層920(例えば、ニオブで形成される)と、フォトレジストマスク910とを含む。減法的パターニングプロセスでは、マスク910は、金属層920上を覆い、金属層920の所望の回路パターンを効果的にトレースする。言い換えれば、所望の回路パターンは、フォトレジストマスク910でカバーされている金属層920の領域に対応する。マスク910で直接カバーされていない金属層920のそれらの領域は、エッチングで取り出され、回路の一部を形成することはないが、マスク910で直接カバーされている金属層920のそれらの領域は、エッチング後も残留し、回路パターンになる。
図9Bは、例示的な超伝導集積回路900bの一部分の断面図である。図9Bは、減法的パターニングプロセスのエッチング段階後の図9Aからの超伝導集積回路900aについて描写する。超伝導集積回路900bは、基板930と、超伝導金属層920とを含むが、図9Aからのフォトレジストマスク910は剥ぎ取られている。図9Aからの超伝導集積回路900aと比較すると、図9Bの超伝導集積回路900bの金属層920に残されたものは、フォトレジストマスク910で直接カバーされていた金属層920のそれらの領域のみである。金属層920は、フォトレジストマスクでカバーされていなかった金属層920の領域を除くため、例えば、プラズマエッチングを使用することによって、減法的にパターニングされている。以下でより詳細に論じられるように、超伝導金属層920およびフォトレジストマスク910は、通常、異なるプロセスを介して、エッチング/除去が行われる。
図9Cは、例示的な超伝導集積回路900cの一部分の断面図である。図9Cは、減法的パターニングプロセスの誘電体堆積段階後の図9Bからの超伝導集積回路900bについて描写する。超伝導集積回路900cは、基板930と、パターニング済みの超伝導金属層920とを含むが、超伝導集積回路900cは、パターニング済みの金属層920および基板930の上部を覆って堆積させた(例えば、化学蒸着、原子層堆積または別の公知の技法によって)誘電体層940も含む。誘電体層940は、外部環境から金属層920を保護する上で、および、集積回路900cに追加することができる後続の金属層から金属層920を絶縁する上で役立つ。金属層920のパターンは、通常、誘電体層940の表面に特徴および非均一性(例えば、950)を生成し、特徴および非均一性は、追加層を堆積させる前に平滑化する必要がある。
図9Dは、例示的な超伝導集積回路900dの一部分の断面図である。図9Dは、減法的パターニングプロセスの誘電体平担化段階後の図9Cからの超伝導集積回路900cについて描写する。超伝導集積回路900dは、基板930と、パターニング済みの超伝導金属層920と、誘電体層940とを含む。図9Cからの超伝導集積回路900cと比較すると、集積回路900dの誘電体層940は、下層の金属層920のパターンから生じるいかなる望まない非均一性(例えば、図9Cからの950)も取り除くため、平担化されている。この誘電体平担化は、例えば、CMPプロセスによって完了することができる。
図9Eは、例示的な超伝導集積回路900eの一部分の断面図である。図9Eは、第2の超伝導金属層960を堆積させた後の図9Dからの超伝導集積回路900dについて描写する。超伝導集積回路900eは、基板930と、パターニング済みの第1の超伝導金属層920と、誘電体層940とをさらに含む。第2の金属層960は、誘電体940によって第1の金属層920から分離され、第2の金属層960と第1の金属層920との間の距離は、誘電体層940の厚さに直接関連する。誘電体層940は内層誘電体であり、ILD厚さが図9Eに示されている。誘電体層940の上面は、望まない特徴(例えば、図9Cからの950)を取り除くために平担化され、したがって、層940のILD厚さは、減法的パターニングプロセスにおけるこの平担化によって決定される。
図9A~9Eに示される減法的パターニングプロセスは、半導体製作産業と超伝導体製作産業の両方で一般的に使用される。しかし、超伝導(半導体とは対照的に)集積回路の製作に特に不利なこのプロセスの態様は、各ILDの厚さがCMPなどの平担化プロセスによって定義されてしまうことである。誘電体層を特定の層の厚さにまで平坦化することは、少なくとも部分的に制御が難しいプロセスであるが、その理由は、所望の厚さに達した際にそれを示す基準点がないためである。本システムおよび方法によれば、加法的パターニングプロセスを使用して、集積回路の製作におけるILD厚さ制御を強化することができ、この利益は、超伝導集積回路の製作における特定の有用性を有する。加法的パターニングプロセスにより、ILD厚さ制御の強化が可能になるが、その理由は、加法的パターニングプロセスでは、ILD厚さは、平担化/研磨動作とは対照的に、誘電体堆積動作(例えば、化学蒸着、物理蒸着、原子層堆積または同様のプロセスを介して)によって実質的に決定されるためである。本システムおよび方法によれば、誘電体堆積プロセスは、誘電体平担化プロセスより優れたILD厚さ制御を提供する。
図10Aは、本システムおよび方法による、加法的パターニングプロセスのマスキング段階の間の例示的な超伝導集積回路1000aの一部分の断面図である。集積回路1000aは、基板1030(例えば、シリコン、シリコン酸化物、サファイアまたは同様の物質で形成される)と、誘電体層1040(例えば、シリコン酸化物で形成される)と、ネガ型フォトレジストマスク1010と、エッチング停止層1070(例えば、シリコン窒化物を含み得る)とを含む。加法的パターニングプロセスでは、マスク1010は、誘電体層1040上を覆い、誘電体層1040の所望の回路パターンのネガティブまたはその逆を効果的にトレースする。言い換えれば、所望の回路パターンは、フォトレジストマスク1010でカバーされていない誘電体層1040の領域に対応する。マスク1010で直接カバーされていない誘電体層1040のそれらの領域は、エッチングで取り出され、オープンの特徴(例えば、溝)を形成するが、マスク1010で直接カバーされている誘電体層1040のそれらの領域は、エッチング後も残留する。
図10Bは、本システムおよび方法による、例示的な超伝導集積回路1000bの一部分の断面図である。図10Bは、加法的パターニングプロセスのエッチング段階後の図10Aからの超伝導集積回路1000aについて描写する。超伝導集積回路1000bは、基板1030と、誘電体層1040と、エッチング停止層1070とを含むが、図10Aからのネガ型フォトレジストマスク1010は剥ぎ取られている。図10Aからの超伝導集積回路1000aと比較すると、図10Bの超伝導集積回路1000bの誘電体層1040は、所望の回路パターンを誘電体層1040にトレースするオープンの特徴(例えば、溝)1080を生成するため、エッチングされている。フォトレジストマスク1010で直接カバーされていた誘電体層1040のそれらの領域は、エッチングされないまま残留する。誘電体層1040へのエッチングは、例えば、エッチング停止層1070によって制御することができ、エッチング停止層1070は、誘電体層1040と基板1030との間の界面を提供し、エッチングはそれを通過することはない。いくつかの実施形態は、エッチング停止層1070を含まない場合および/またはエッチング停止として基板1030を使用する場合がある。
図10Cは、本システムおよび方法による、例示的な超伝導集積回路1000cの一部分の断面図である。図10Cは、加法的パターニングプロセスの金属堆積段階後の図10Bからの超伝導集積回路1000bについて描写する。図10Bからの特徴に加えて、超伝導集積回路1000cは、パターニング済みの誘電体層1040の上部を覆って堆積させた(例えば、電気めっきによって)超伝導金属層1020も含む。超伝導金属層1020は、例えば、ニオブまたは動作の際に超伝導が可能な別の材料を含み得る。金属層1020の堆積は、超伝導金属で誘電体層1040のオープンの特徴(例えば、図10Bからの1080)を充填する。したがって、誘電体層1040のパターンは、金属層1020をパターニングするための型として機能する。
図10Dは、本システムおよび方法による、例示的な超伝導集積回路1000dの一部分の断面図である。図10Dは、加法的パターニングプロセスの金属平担化段階後の図10Cからの超伝導集積回路1000cについて描写する。図10Cからの超伝導集積回路1000cと比較すると、集積回路1000dの金属層1020は、誘電体層1040の最上部の高さまで余分な金属を取り除くため、平担化/研磨されている(例えば、CMPまたは同様のプロセスによって)。この平担化動作の後、金属層1020に残されたものは、誘電体層1040のオープンの特徴(例えば、図10Bからの1080)を充填する金属層1020のそれらの部分のみである。したがって、金属層1020は、金属層1020を誘電体層1040の型に加え、いかなる余分な金属も研磨で取り出すことによってパターニングされている。金属層1020の平担化/研磨は、金属層1020と誘電体層1040との間の界面に達した時点で停止するように構成することができる。当業者であれば、金属層1020の平担化/研磨は、誘電体層の平担化/研磨に使用されるスラリとは異なる/修正された特殊なスラリを必要とし得ることが理解されよう。
図10Eは、本システムおよび方法による、例示的な超伝導集積回路1000eの一部分の断面図である。図10Eは、誘電体層1060を堆積させた後の図10Dからの超伝導集積回路1000dについて描写する。誘電体層1060は、金属層1020をカバーし、CVD、PVD、ALDまたは誘電体堆積の他の任意の公知の方法によって堆積させることができる。誘電体層1040と誘電体層1060の組合せは共に、内層誘電体(「ILD」)を形成し、その厚さは、誘電体層1060の堆積によって実質的に決定される。以前に説明されるように、より優れた厚さ制御は、平坦化プロセスと比べて、堆積プロセスを介して達成することができ、したがって、誘電体層1040および1060によって形成されたILDの厚さは、図9Eからの誘電体層940によって形成されたILDの厚さより優れた精度に制御することができる。いくつかの実施形態では、誘電体層1060の最上面は、誘電体層1060の厚さに実質的な影響をもたらすことなく、滑らかな表面を提供できるほど十分に研磨/平坦化することができる。
図10Fは、本システムおよび方法による、例示的な超伝導集積回路1000fの一部分の断面図である。図10Fは、エッチング停止層1071を堆積させた後の図10Eからの超伝導集積回路1000eについて描写する。エッチング停止層1071は、誘電体層1060をカバーし、CVD、PVD、ALDまたは誘電体堆積の他の任意の公知の方法によって堆積させる、例えば、シリコン窒化物で形成することができる。エッチング停止層1071は、エッチング停止層1071の上方に堆積させた追加の誘電体層のパターニング(すなわち、エッチング)が通り抜けて誘電体層1060に達することがないことを保証する。当業者であれば、ILD厚さの定義は、より多くのエッチング停止層のうちの1つの厚さを含んでも含まなくともよいことが理解されよう。
図10Gは、本システムおよび方法による、例示的な超伝導集積回路1000gの一部分の断面図である。図10Gは、誘電体層1043を堆積させた後の図10Fからの超伝導集積回路1000fについて描写する。誘電体層1043は、CVD、PVD、ALDまたは同様のものを介して堆積させる、例えば、シリコン酸化物を含み得る。誘電体層1043は、エッチング停止層1071を覆って堆積させる。
図10Hは、本システムおよび方法による、例示的な超伝導集積回路1000hの一部分の断面図である。図10Hは、誘電体層1043を覆ってネガ型フォトレジストマスク1011を堆積させた後の図10Gからの超伝導集積回路1000gについて描写する。加法的パターニングプロセスでは、マスク1011は、誘電体層1043上を覆い、誘電体層1043の所望の回路パターンのネガティブまたはその逆を効果的にトレースする。言い換えれば、所望の回路パターンは、ネガ型フォトレジストマスク1011でカバーされていない誘電体層1043の領域に対応する。マスク1011で直接カバーされていない誘電体層1043のそれらの領域は、エッチングで取り出され、オープンの特徴(例えば、溝)を形成するが、マスク1011で直接カバーされている誘電体層1043のそれらの領域は、エッチング後も残留する。
図10Iは、本システムおよび方法による、例示的な超伝導集積回路1000iの一部分の断面図である。図10Iは、加法的パターニングプロセスのエッチング段階後の図10Hからの超伝導集積回路1000hについて描写する。超伝導集積回路1000iでは、図10Hからのネガ型フォトレジストマスク1011は、剥ぎ取られている。図10Hからの超伝導集積回路1000hと比較すると、図10Iの超伝導集積回路1000iの誘電体層1043は、所望の回路パターンを誘電体層1043にトレースするオープンの特徴(例えば、溝)1081を生成するため、エッチングされている。フォトレジストマスク1011で直接カバーされていた誘電体層1043のそれらの領域は、エッチングされないまま残留する。誘電体層1043へのエッチングは、エッチング停止層1071によって制御することができ、エッチング停止層1071は、誘電体層1043と誘電体層1042との間の界面を提供し、エッチングはそれを通過することはない。
図10Jは、本システムおよび方法による、例示的な超伝導集積回路1000jの一部分の断面図である。図10Jは、加法的パターニングプロセスの金属堆積段階後の図10Iからの超伝導集積回路1000iについて描写する。図10Iからの特徴に加えて、超伝導集積回路1000jは、パターニング済みの誘電体層1043の上部を覆って堆積させた(例えば、電気めっきによって)超伝導金属層1021も含む。超伝導金属層1021は、例えば、ニオブまたは動作の際に超伝導が可能な別の材料を含み得る。金属層1021の堆積は、超伝導金属で誘電体層1043のオープンの特徴(例えば、図10Iからの1081)を充填する。したがって、誘電体層1043のパターンは、金属層1021をパターニングするための型として機能する。
図10Kは、本システムおよび方法による、例示的な超伝導集積回路1000kの一部分の断面図である。図10Kは、加法的パターニングプロセスの金属平担化段階後の図10Jからの超伝導集積回路1000jについて描写する。図10Jからの超伝導集積回路1000jと比較すると、集積回路1000kの金属層1021は、誘電体層1043の最上部の高さまで余分な金属を取り除くため、平担化/研磨されている(例えば、CMPまたは同様のプロセスによって)。この平担化動作の後、金属層1021に残されたものは、誘電体層1043のオープンの特徴(例えば、図10Iからの1081)を充填する金属層1021のそれらの部分のみである。したがって、金属層1021は、金属層1021を誘電体層1043の型に加え、いかなる余分な金属も研磨で取り出すことによってパターニングされている。金属層1021の平担化/研磨は、金属層1021と誘電体層1043との間の界面に達した時点で停止するように構成することができる。
以前に説明されるように、加法的パターニングプロセスは、超伝導集積回路におけるILD厚さの制御を改善することができる。ILD厚さが定義された時点で、後続の加工処理行為は、製作されている回路の要件によって決定されるような、加法的パターニングまたは減法的パターニング手法を使用することができる。例えば、図10G~10Kは、加法的パターニング手法によってパターニングされている第2の超伝導金属層について描写し、それは、いくつかの回路では(例えば、第2の金属層1021を覆って、少なくとも第3の金属層を、そして結果的に、第2のILDを含む回路では)、有利であり得る。しかし、代替の実施形態では(例えば、第2の金属層1021が最上部の金属層であり、第2の金属層1021の上方にはILDが画定されない回路では)、第2の金属層1021の堆積およびパターニングは、好ましい場合、回路のILD厚さ制御に悪影響を及ぼすことなく、減法的パターニング手法を使用することができる。
図10A~10Kで説明される動作、行為または工程は、任意の特定の集積回路設計において必要な限り多くの層を提供するため、層1043および1021の上方の追加の誘電体および配線層に対して繰り返すことができる(要望に応じて、追加のビア接続を用いて)。図10A~10Kで説明される動作、行為または工程は、図11で要約される。
図11は、本システムおよび方法による、ILD厚さ制御の改善を実現するために超伝導集積回路製作プロセスにおいて加法的パターニング技法を使用する方法1100を示す。方法1100は、6つの動作または行為1101~1106を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。1101では、第1の誘電体層を覆ってネガ型フォトレジストマスクを堆積させる。図10Aの文脈で説明されるように、ネガ型フォトレジストマスクは、所望の回路パターンの逆をトレースする。所望の回路パターンは、フォトレジストマスクでカバーされていない第1の誘電体層の領域に対応する。1102では、オープンの特徴(例えば、溝)を生成するため、ネガ型フォトレジストマスクによって提供されたパターンを第1の誘電体層にエッチングする。いくつかの実施形態では、第1の誘電体層は、エッチング停止層上を覆うことができ、1102でのエッチングはそれを通過することはない。1103では、第1の誘電体層のオープンの特徴を充填するため、パターニング済みの誘電体層上にまたはパターニング済みの誘電体層を覆って超伝導金属層を堆積させる。超伝導金属層は、例えば、ニオブを含み得、上記で説明されるように、ニオブは、製作されている特定の回路の要件および特性に応じて、電気めっきによって、または、標準のニオブ堆積技法によって、堆積させることができる。第1の誘電体層のオープンの特徴を充填するため、第1の誘電体層が超伝導金属で完全にコーティングされるように、余分な超伝導金属を堆積させることができる。1104では、超伝導金属層に残されたものが、第1の誘電体層のオープンの特徴を充填するその部分のみであるように、超伝導金属層を第1の誘電体層の高さまで平坦化する(例えば、CMPプロセスによって)。1105では、所望のILD厚さを生成するため、第2の誘電体層を堆積させる。第2の誘電体層は、超伝導金属層の上部にまたは超伝導金属層を覆って(結果的に、第1の誘電体層を覆って)、少なくともほぼ所望の内層誘電体厚さに対応する高さまで堆積させる。第2の誘電体層は、例えば、CVD、PVD、ALDまたは同様のプロセスによって堆積させることができる。第2の誘電体層は、必要に応じて、滑らかな表面を提供するように平坦化することができる。1106では、第2の超伝導金属層が第1の超伝導金属層から少なくともILD厚さだけ分離されるように、第2の誘電体層の上部にまたは第2の誘電体層を覆って第2の超伝導金属層を堆積させる。いくつかの実施形態では、第2の超伝導金属層は、第2の誘電体層の上部に直接または第2の誘電体層を覆って直接、堆積させることができる(そして、例えば、標準の減法的パターニング手法(例えば、図9A~9E)によってパターニングすることができる)。他の実施形態では、最初に、第2の誘電体層の上部に直接、エッチング停止層を堆積させることができ、エッチング停止層の上部にまたはエッチング停止層を覆って第3の誘電体層を堆積させることができ、第3の誘電体層をネガ型マスクでパターニングしてオープンの特徴を生成することができ、次いで、第3の誘電体層の上部にまたは第3の誘電体層を覆って(依然として、第2の誘電体層の上部にまたは第2の誘電体層を覆って)第2の超伝導金属層を堆積させ、第3の誘電体層のオープンの特徴を充填することができる。
図10A~10Kは、超伝導加法的パターニングプロセスについて描写し、超伝導金属(例えば、ニオブ)層の堆積に電気めっきを使用することができ、2つの金属層を分離するILDの厚さは、平担化/研磨プロセスによって完全に制御されることとは対照的に、誘電体堆積プロセス(例えば、CVD、PVD、ALDまたは同様のもの)によって実質的に制御される。図10A~10Kおよび図11は、超伝導ダマシンプロセスについて描写する。しかし、当業者であれば、図10A~10K(および図11)に描写されるプロセスは、別個の金属層間のいかなるビア接続も提供しないという点で簡易化されていることが理解されよう。本システムおよび方法によれば、加法的プロセスは、金属層間のビア接続を有する超伝導集積回路の製作にも使用することができる。例えば、超伝導デュアルダマシンプロセスを使用して、誘電体層のビアホールとオープンの特徴の両方を超伝導金属で充填することができる。本システムおよび方法によれば、超伝導デュアルダマシンプロセスは、別個のビアマスキング/エッチングおよび配線マスキング/エッチング行為を含み得る。いくつかの実施形態では、オープンビアおよび配線特徴は、超伝導金属で同時に充填することができる。いくつかの実施形態では、ビアは、複数の段階で、マスキング、エッチングおよび充填を行うことができる。
複数の超伝導層を使用する超伝導集積回路は、層間の超伝導相互接続を必要とする場合が多い。これらの相互接続は、「ビア」として知られている。Hinode et al.,Physica C 426-432(2005)1533-1540は、超伝導ビアに特有の困難のいくつかについて論じている。例えば、ニオブは、超伝導集積回路において、導体として一般的に使用される超伝導金属であるが、ニオブは、ビアホールを自然に充填することはあまりうまくできない。この結果、ニオブを使用する超伝導集積回路の配線層間の接触不良が起こる。具体的には、ニオブは、約0.7:1または70%より大きい深さ対幅のアスペクト比を有する穴を不完全に充填する。当業者であれば、それは、高アスペクト比の穴を適切に充填するのを妨げるニオブ固有の化学および/または物理的性質であることが理解されよう。
回路サイズは、半導体および超伝導体集積回路の両方において一般的な設計上の考慮事項であり、目標は、限られた空間次元内で、より密度が高く、より洗練された回路を適合させることである場合が多い。高アスペクト比のビアが望ましいが、その理由は、高アスペクト比のビアが、より高密度に詰められた回路の開発を可能にするためである。最先端の技術によれば、高アスペクト比の超伝導ビアの生成不能は、現時点において、ニオブを使用する超伝導集積回路の密度および小型化を制限する。本システムおよび方法によれば、高アスペクト比(すなわち、約0.7:1より大きい)のニオブビアは、「超伝導加法的パターニング」、「超伝導ダマシン」および/または「超伝導デュアルダマシン」プロセスを使用することによって製作することができる。
本明細書に記載される様々な実施形態は、超伝導デュアルダマシンプロセスのためのシステムおよび方法を提供する。超伝導デュアルダマシンプロセスは、加法的パターニングを使用し、図10A~10Kおよび図11に描写される超伝導ダマシンプロセスと同様であり得、超伝導デュアルダマシンプロセスは別個の超伝導配線層間の超伝導ビア接続を提供するという追加の特徴がある。ビア接続は、例えば、誘電体のオープンの特徴が超伝導金属で充填される前に、パターニング済みの誘電体の範囲内でパターニングおよび形成することができる。したがって、多層の集積回路の各金属配線層では、超伝導デュアルダマシンプロセスは、配線特徴を生成するための配線マスキング/エッチング動作と、それに続く、配線特徴の範囲内でビアをエッチングすることができるような別個のビアマスキング/エッチング動作とを含み得る。
図12Aは、本システムおよび方法による、超伝導デュアルダマシンプロセスのビアマスキング段階の間の例示的な超伝導集積回路1200aの一部分の断面図である。集積回路1200aは、基板1230上でまたは基板1230によって支えられるNb-AlOx-Nb三層1210と、誘電体層1241とを含む。集積回路1200aは、ジョセフソン接合1221および配線コンポーネント1222を画定するために三層1210が既にパターニング済みの(例えば、フォトレジストマスキングおよびプラズマエッチング技法を介して)製作プロセスの中間段階で描写されている。図12Aに描写されるビアマスキング段階では、集積回路1200aは、誘電体層1241上を覆うネガ型フォトレジストマスク層1251をさらに含む。マスク1251は、三層1210へのビア接続のための所望の位置のネガティブまたはその逆を効果的にトレースする。言い換えれば、所望のビア位置は、フォトレジストマスク1251でカバーされていない誘電体層1241の領域に対応する。マスク1251で直接カバーされていない誘電体層1241のそれらの領域は、エッチングで取り出され、三層1210を露出するオープンホールを形成するが、マスク1251で直接カバーされている誘電体層1241のそれらの領域は、エッチング後も残留する。
図12Bは、本システムおよび方法による、例示的な超伝導集積回路1200bの一部分の断面図である。図12Bは、図10B~10Dで説明されている動作または行為と同様に、加法的パターニングプロセスの誘電体エッチング、金属堆積および金属平担化/研磨段階後の図12Aからの超伝導集積回路1200aについて描写する。フォトレジストマスク1251およびフォトレジストマスク1251で直接カバーされていなかった誘電体層1241のそれらの領域は、エッチングで取り出されている(すなわち、図10Aから図10Bに進む際に説明されるものと同様の方法で)。誘電体層1241で結果として得られた穴は、超伝導金属で充填され(すなわち、図10Bから10Cに進む際に説明されるものと同様の方法で)、ジョセフソン接合1221および1222にそれぞれ超伝導接続を提供するビア1261および1262の第1の部分が生成される。超伝導ビア1261および1262の第1の部分は、例えば、ニオブ電気めっきプロセスを使用してニオブで充填することができ、約0.7:1の、0.7:1より小さいまたは0.7:1より大きい(電気めっき充填プロセスで可能になるような)アスペクト比を有し得る。誘電体層1241の上面の上方に堆積させた余分なニオブは、CMPプロセスを介して取り除かれている(すなわち、図10Cから10Dに進む際に説明されるものと同様の方法で)。図12C~12Gで説明されるように、図12Bは、超伝導ビア1261および1262のそれぞれの第1の部分のみを示す。ビア1261および1262の構造に追加するため、さらなる加工処理動作または行為を使用することができる。
図12Cは、本システムおよび方法による、例示的な超伝導集積回路1200cの一部分の断面図である。図12Cは、エッチング停止層1271、1272および誘電体層1242、1243を堆積させた後のデュアルダマシンプロセスの配線マスキング段階の間の図12Bからの回路1200bについて描写する。エッチング停止層1271は、例えば、CVD、PVDまたはALDプロセスを介して、誘電体層1241上にまたは誘電体層1241を覆って堆積させ、例えば、SiNを含み得る。誘電体層1242は、例えば、CVD、PVDまたはALDプロセスを介して、エッチング停止層1271上にまたはエッチング停止層1271を覆って堆積させ、例えば、SiOを含み得る。誘電体層1242の堆積は、その上にくる次の金属層(未だ図示せず-図12Gの金属層1290を参照)から三層1210を分離する、結果として得られたILDの厚さの制御に使用することができる。本システムおよび方法によれば、加法的パターニング手法は、ILD厚さ制御の改善を提供するが、その理由は、誘電体層の厚さは、平担化/研磨プロセスと比べて、堆積プロセスを通じてより精密に制御することができるためである。エッチング停止層1272は、例えば、CVD、PVDまたはALDプロセスを介して、誘電体層1242上にまたは誘電体層1242を覆って堆積させ、例えば、SiNを含み得る。誘電体層1243は、例えば、CVD、PVDまたはALDプロセスを介して、エッチング停止層1272上にまたはエッチング停止層1272を覆って堆積させ、例えば、SiOを含み得る。図12Cに描写される配線マスキング段階では、集積回路1200cは、誘電体層1243上を覆うネガ型フォトレジストマスク層1252をさらに含む。この加法的パターニングプロセスでは、マスク1252は、誘電体層1243の所望の回路パターンのネガティブまたはその逆を効果的にトレースする。言い換えれば、所望の回路パターンは、ネガ型フォトレジストマスク1252でカバーされていない誘電体層1243の領域に対応する。マスク1252で直接カバーされていない誘電体層1243のそれらの領域は、エッチングで取り出され、オープンの特徴(例えば、溝)を形成するが、マスク1252で直接カバーされている誘電体層1243のそれらの領域は、エッチング後も残留する。マスク1252は、三層1210の上方に位置する金属配線層(図示せず、図12Gの金属層1290を参照)のための回路パターンを画定する。
図12Dは、本システムおよび方法による、例示的な超伝導集積回路1200dの一部分の断面図である。図12Dは、加法的パターニングプロセスの配線エッチング段階後の図12Cからの超伝導集積回路1200cについて描写する。図12Cからのフォトレジストマスク1252は、剥ぎ取られており、誘電体層1243は、所望の回路パターンを誘電体層1243にトレースするオープンの特徴(例えば、溝)1281および1282を生成するため、エッチングされている。フォトレジストマスク1252で直接カバーされていた誘電体層1243のそれらの領域は、エッチングされないまま残留する。誘電体層1243へのエッチングは、例えば、エッチング停止層1272によって制御することができ、エッチング停止層1272は、誘電体層1243と誘電体層1242との間の界面を提供し、エッチングはそれを通過することはなく、それにより、図12Cで説明されるILD厚さがこのエッチングによる影響を受けないまま残ることを保証する。
図12Eは、本システムおよび方法による、例示的な超伝導集積回路1200eの一部分の断面図である。図12Eは、デュアルダマシンプロセスのビアマスキング段階の間の図12Dからの超伝導集積回路1200dについて描写する。集積回路1200eは、ネガ型フォトレジストマスク1253を含み、ネガ型フォトレジストマスク1253は、パターニング済みの誘電体層1243上を覆い、ビア1261および1262が誘電体層1243まで延在するようにビア接続1261および1262のそれぞれの第2の部分に対する位置のネガティブまたはその逆を効果的にトレースする。ビア(例えば、ビア1261および1262)の第2の部分に対するエッチングは、エッチング停止層1272および1271ならびに誘電体層1242のすべてを一度に通り抜けてエッチングする化学エッチング(すなわち、「スラリ」)を使用することができる。あるいは、ビア(例えば、ビア1261および1262)の第2の部分に対するエッチングは、複数の段階で完了することができ、第1の段階は、エッチング停止層1272を通り抜けてエッチングする化学エッチングを使用し、第2の段階は、誘電体層1242を通り抜けてエッチングする化学エッチングを使用し、第3の段階は、エッチング停止層1271を通り抜けてエッチングする化学エッチングを使用する。エッチングを単一の動作もしくは行為で実行するか、または、複数の動作もしくは行為で実行するかに関わらず、エッチングは、ビア1261および1262のそれぞれの第1の部分の既存の金属が露出する際に停止するように設計することができる。ビア1261および1262の位置は、フォトレジストマスク1253でカバーされていない誘電体層1243のそれぞれのオープンの特徴1281および1282の範囲内のエッチング停止層1272の領域(誘電体層1242およびエッチング停止層1271の上方の)に対応する。マスク1253で直接カバーされていないエッチング停止層1272(ならびに誘電体層1242およびエッチング停止層1271)のそれらの領域は、エッチングで取り出され、ビア1261および1262の第1の部分の金属を露出するオープンホールを形成するが、マスク1253で直接カバーされているエッチング停止層1272(ならびに誘電体層1242およびエッチング停止層1271)のそれらの領域は、エッチング後も残留する。したがって、超伝導デュアルダマシンプロセスは、加法的パターニングを使用して、誘電体層のオープンの特徴を画定し(図10A~10Kで説明される超伝導ダマシンプロセスと同様の方法で)、誘電体層のオープンの特徴の範囲内のビア接続のマスキングおよびエッチングをさらに提供する。ビアは、複数の段階で充填することができ、第2の段階は、第1の段階までの超伝導延伸を提供する。
図12Fは、本システムおよび方法による、例示的な超伝導集積回路1200fの一部分の断面図である。図12Fは、デュアルダマシンプロセスのビアエッチング段階後の図12Eからの超伝導集積回路1200eについて描写する。エッチング停止層1272、誘電体層1242およびエッチング停止層1271はすべて、ビア1261および1262のそれぞれの第1の部分の既存の金属を露出させ、誘電体層1243のオープンの特徴1281および1282とビア1261および1262の第1の部分との間のそれぞれの接続を提供するため、エッチングされている(上記で説明されるようにすべてを一度にまたは順番に)。図12Eからのフォトレジストマスク1253は、剥ぎ取られている。フォトレジストマスク1253で直接カバーされていた誘電体層1243およびエッチング停止層1272(ならびに誘電体層1242およびエッチング停止層1271)のそれらの領域は、エッチングされないまま残留する。したがって、超伝導デュアルダマシンプロセスでは、ビア1261および1262の第2の部分は、誘電体層1243のオープンの特徴1281および1282にエッチングすることができる。これにより、その後に、ビア1261および1262の第2の部分と誘電体層1243のオープンの特徴1281および1282の両方を超伝導金属で同時に充填することが可能になる。
図12Gは、本システムおよび方法による、例示的な超伝導集積回路1200gの一部分の断面図である。図12Gは、超伝導金属堆積および平担化動作または行為を完了した後の図12Fからの超伝導集積回路1200fについて描写する。超伝導金属(例えば、ニオブ)は、超伝導ビア1261および1262のそれぞれの部分を充填し、誘電体層1243のオープンの特徴(図12Fからの1281および1282)も充填して、配線特徴1291および1292を生成するため、誘電体層1243上にまたは誘電体層1243を覆って堆積させる。以前に説明されるように、超伝導金属(例えば、ニオブ)は、ビア1261および1262の第2の部分(ならびに/またはビア1261および1262全体、すなわち、ビア1261の第1および第2の部分を組み合わせた長さならびにビア1262の第1および第2の部分を組み合わせた長さ)が、約0.7:1より大きいアスペクト比を含むいかなるアスペクト比も有し得るように、ビア1261および1262の第2の部分の十分な充填を可能にする電気めっきプロセスによって堆積させることができる。図12Fからのオープンの特徴1281および1282を完全に充填するため、余分な金属を誘電体層1243の上面の上方に堆積させることができる。この余分な金属は、平担化/研磨プロセス(例えば、図10Jから10Kに進む際に説明されるようなCMP)を介して、誘電体層1243の高さまで取り除くことができる。したがって、金属堆積および平担化動作または行為は、誘電体層1243の図12Fからのオープンの特徴1281および1282を充填し、ビア1261および1262の第2の部分も充填して、配線層1290と三層1210との間の完全なビア接続を提供する、パターニング済みの金属配線層1290を画定する。
図12A~12Gで説明される行為は、任意の特定の集積回路設計において必要な限り多くの層を提供するため、層1243および1290の上方の追加の誘電体および配線層に対して繰り返すことができる(要望に応じて、追加のビア接続を用いて)。図12A~12Gで説明される動作、行為または工程は、図13で要約される。
図13は、本システムおよび方法による、超伝導デュアルダマシンプロセスを実装する方法1300のフロー図である。方法1300は、10の行為1301~1310を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。1301では、第1の誘電体層上にまたは第1の誘電体層を覆ってネガ型フォトレジストマスクを堆積させ、ネガ型フォトレジストマスクは、超伝導ビアの位置を提供する。第1の誘電体層は、図12Aに描写されるように、Nb-AlOx-Nb三層などの超伝導金属層上を覆うことができる。1302では、オープンホールを生成するため、ビアの位置を第1の誘電体層にエッチングする。オープンホールは、第1の誘電体層の真下の超伝導金属層の超伝導金属を露出させることができる。1303では、オープンホールを充填し、それにより、超伝導ビアの第1の部分を提供するため、第1の誘電体層上にまたは第1の誘電体層を覆って超伝導金属層を堆積させる。以前に説明されるように、超伝導金属は、ニオブを含み得、例えば、電気めっきプロセスによって堆積させることができる。次いで、第1の誘電体層の上面が露出するまで、超伝導金属層を平坦化する(例えば、CMPによって)。1304では、第2の誘電体層を堆積させる。第2の誘電体層の堆積に先立って、少なくとも1つのエッチング停止層の堆積が行われる。いくつかの実施形態では、1304での第2の誘電体層の堆積は、順番に、第1のエッチング停止層を堆積させる工程、内層誘電体を堆積させる工程、第2のエッチング停止層を堆積させる工程、および、第2の誘電体層を堆積させる工程を含み得る。以前に説明されるように、内層誘電体の厚さは、この堆積プロセスによって決定されるため、ILD厚さが平坦化プロセスによって制御される減法的パターニングプロセスより精密に制御することができる。1305では、第2の誘電体層上にまたは第2の誘電体層を覆って、回路パターンを提供する第1のネガ型フォトレジストパターンを堆積させる。1306では、オープンの特徴(例えば、溝)を生成するため、回路パターンを第2の誘電体層にエッチングする。1304での第2の誘電体層の堆積に先立って少なくとも1つのエッチング停止層の堆積が行われると(説明されるように)、1306でのエッチングは、少なくとも1つのエッチング停止層で停止するように構成することができる。次いで、第1のネガ型フォトレジストパターンを剥ぎ取ることができる。1307では、第2の誘電体層上にまたは第2の誘電体層を覆って、第2の誘電体層のオープンの特徴の範囲内の超伝導ビアの位置を提供する第2のネガ型フォトレジストマスクを堆積させる。例えば、動作または行為1301~1303からの超伝導ビアは、上向きに延伸して、第2の誘電体層のオープンの特徴によって画定された回路パターン(1305)に接続することができる。したがって、1307では、行為1301~1303からのビアを第2の誘電体層の回路パターン(1305)に接続することになっている位置を、第2の誘電体層のオープンの特徴の範囲内に画定する。1308では、少なくとも第2の誘電体層を通り抜けて下向きに延伸するオープンの特徴の範囲内にオープンホールを生成し、超伝導ビア(行為1303からの)の第1の(充填)部分の上部を露出させるため、ビアの位置を第2の誘電体層にエッチングする。次いで、第2のネガ型フォトレジストマスクを剥ぎ取ることができる。1309では、オープンホールを充填し、ビアの第2の部分を提供するため、および、オープンの特徴を充填し、第2の誘電体層の回路パターンを提供するため、第2の誘電体層を覆って超伝導金属層を堆積させる。以前に説明されるように、超伝導金属は、ニオブを含み得、オープンホールの充填を容易にし、いかなるアスペクト比(例えば、約0.7:1より大きいアスペクト比)も有するビアの生成を可能にする電気めっきプロセスによって堆積させることができる。行為1309は、第2の誘電体層の配線要素を同時に形成し、第2の誘電体層の配線要素から(例えば、第1の誘電体層の真下に位置する配線層へ)のビア接続を完成する。1310では、いかなる余分な金属も取り除き、第2の誘電体層の上面を露出させるため、超伝導金属層を平坦化または研磨する(例えば、CMPプロセスによって)。集積回路に追加層を生成する必要があれば、第3の誘電体層を堆積させることができ、次いで、第3の誘電体層の上部でまたは第3の誘電体層にわたって、行為1301~1310を繰り返すことができる。
図10A~10K、図11、図12A~12Gおよび図13に描写される超伝導ダマシンおよび/またはデュアルダマシンプロセスは、超伝導金属自体のエッチングを一度も必要とすることのない完全な超伝導集積回路の製作に使用することができる。そのようなものは、超伝導金属としてニオブを使用する回路に対して有利であり得るが、その理由は、当技術分野において、ニオブをエッチングするための技法が、アルミニウムなどの他の材料をエッチングするための技法より開発が遅れているためである(半導体産業におけるアルミニウムの大量使用のため)。その上、ニオブのエッチングは、回路動作および性能に悪影響を及ぼす恐れがあるニオブ酸化物および/または他の化合物の形成をもたらし得る。したがって、本明細書に記載される超伝導ダマシンおよび/またはデュアルダマシン手法は、望まないニオブ酸化物の形成を抑える(場合によっては、排除する)という追加の利益を有する。
上記で説明される超伝導ダマシンおよびデュアルダマシンプロセスは、標準のフォトレジストマスキングおよびプラズマエッチング(すなわち、標準の減法的パターニング)と比べて、すべてをまとめて取り入れると、超伝導集積回路製作に特定の利益を提供することができる、あるプロセス変更を実装する。しかし、本システムおよび方法によれば、上記で説明されるプロセスのいくつかは、確実な利益を実現するため、そうでなければ標準のフォトレジストマスキングおよびプラズマエッチングプロセスに個別に組み込むことができる。例えば、ビア充填およびビア臨界電流制御は、減法的パターニングプロセスでさえもニオブを堆積させるために電気めっきを使用することによって達成することができる。そのようなプロセスは、ニオブ堆積段階で、より典型的なCVD、PVDまたはALDプロセスとは対照的に電気めっきプロセスが使用されることを除いて、図9A~9Eで概説されるプロセスからの変化をほとんど伴わない可能性がある。上記で説明されるように、電気めっきプロセスを介するニオブ堆積は、ビア接続のより優れた充填を行うことができ(CVD、PVDまたはALDタイプのプロセスを介するニオブ堆積と比較して)、高アスペクト比(例えば、約0.7:1より大きい)を有するビアの確実な製作を可能にする。
そうでなければ標準の減法的パターニングプロセスに統合することができる、上記で説明される超伝導ダマシンおよび/またはデュアルダマシンプロセスの個々の態様の別の例は、誘電体平担化とは対照的に誘電体堆積によってILD厚さを定義することである。本システムおよび方法によれば、そうでなければ典型的な減法的パターニングプロセス(例えば、図9A~9Eに示されるような)は、誘電体平担化プロセスとは対照的に誘電体堆積プロセスによってILD厚さが決定されるように修正することができる。そのような修正は、例えば、誘電体層940の平担化による図9Cから図9Dに進む際に描かれる誘電体平担化を、金属層920の上部に至るまで拡張することを含み得る。次いで、追加の誘電体堆積を使用して、所望のILD厚さに達するまで、誘電体層940の高さを上げることができる(例えば、図9Eに描写される高さまで)。以前に説明されるように、誘電体堆積は、誘電体平担化より優れた厚さ制御を提供することができる。いくつかの実施形態では、金属層920の上部に至るまで誘電体層940を平担化することは、CMPを伴う可能性があり、標準のスラリとは対照的に、高選択性スラリ(「HSS」)を使用することができる。
この明細書全体を通じて、例えば、シリコン、シリコン酸化物、サファイアまたは同様の材料(石英など)で形成された基板について言及する場合が多い。半導体産業では、集積回路における基板またはキャリアとしてドーピングされたシリコンを使用する場合が多いが、その理由は、ドーピングが製作プロセスを促進することができるためである。しかし、超伝導集積回路では、そのようなドーパントは、望まない雑音を生み出す源である可能性がある、および/または、そのようなドーパントは、シリコン基板の熱容量を上昇させる可能性があり、それは、基板の重要な機能が導電性金属を超伝導体性まで冷却するのを支援することである超伝導回路では特に望ましくない。したがって、超伝導集積回路における基板またはキャリアとしてドーピングされていない純シリコンを使用することが好ましい場合がある。
超伝導集積回路における基板として多くの異なる材料を使用することができるが、最上層にシリコン酸化物を有するシリコンが一般的に使用される。シリコン酸化物の最上層は、少なくとも部分的に追加される場合が多いが、その理由は、シリコン単体が透明であり、それにより、標準のリソグラフィプロセスの実行が難しくなり得るためである。しかし、特に雑音に対する感度が高い超伝導回路(例えば、超伝導量子プロセッサなどの超伝導量子ビット回路)では、このシリコンとシリコン酸化物との界面は、望ましくない雑音源となり得る。本システムおよび方法によれば、いくつかの応用において、最上層にシリコン酸化物を有するシリコンの代わりに、最上層に酸化アルミニウムなどの代替の材料を有するシリコンを含む基板上に超伝導集積回路を製作することが有利であり得る。
図14は、本システムおよび方法による、超伝導集積回路における使用のための基板1400の一部分の断面図である。基板1400は、2つの層、すなわち、シリコン(例えば、上記で説明されるような標準のドーピングされたシリコンまたはドーピングされていない純シリコン)のベース層1410と、酸化アルミニウムの最上層1420とを備える。いくつかの実施形態では、ベース層1410は、サファイア、石英または基板として適切な任意の代替の材料を含み得る。酸化アルミニウム層1420は、非透明(例えば、半透明(translucent)、半透明(semi-transparent)または不透明)であり、したがって、標準のリソグラフィ処理技法と併せて基板1400の使用を容易にする。その上、シリコンと酸化アルミニウムとの界面は、基板において一般的に使用されるシリコンとシリコン酸化物との界面と比べて、雑音特性の改善を提供することができる(すなわち、より雑音の少ない源として動作する)。酸化アルミニウム最上層1420の追加の利益は、酸化アルミニウムが、シリコン酸化物と比べて、より優れたエッチング停止として機能することができ、したがって、酸化アルミニウム最上層1420を覆って堆積させた材料(例えば、ニオブなどの超伝導金属)のより精密なエッチングおよびパターニングが可能になることである。
以前に説明されるように、接合が約200℃を上回って加熱される場合、三層ジョセフソン接合の品質が劣化し得る(具体的には、例えば、AlOxなどの絶縁バリアの品質が劣化し得る)。これは、超伝導集積回路においてジョセフソン接合三層を堆積させた時点で、ジョセフソン接合品質を維持するためにすべての後続の加工処理動作または行為を規格より低い温度(すなわち、<200℃)で実行することが有利であり得ることを意味する。半導体産業では、誘電体は、通常、純度および滑らかさを向上させるため、高温で(例えば、400℃を上回って)堆積させる。しかし、ジョセフソン接合を使用する超伝導集積回路では、そのような高温でジョセフソン接合を覆って誘電体層を堆積させることは、ジョセフソン接合自体に悪影響を及ぼす恐れがある。それに従って、ジョセフソン接合三層を含む回路において低温誘電体堆積プロセスを使用することが有利であり得る。低温誘電体プロセスの一例は、低温オルトケイ酸テトラエチル(「TEOS」)誘電体堆積プロセスである。当技術分野では、TEOSは、シリコン酸化物の前駆体として使用される場合が多いが、ある温度(例えば、650~850℃)では、ジョセフソン接合品質に悪影響を及ぼす恐れがある。本システムおよび方法によれば、TEOS誘電体堆積プロセス(例えば、CVD TEOSプロセスまたはプラズマ強化CVD TEOSプロセス)は、ジョセフソン接合品質を維持するため、三層ジョセフソン接合にわたって適用する際、かなり低い温度(例えば、200℃辺り)で実行することができる。
本明細書に記載される実施形態の多くは、超伝導量子計算における応用を対象とする。当業者であれば、量子情報の操作に対する要件(例えば、雑音の許容レベル)は、非量子情報の操作に対する要件より厳しいものであり得ることが理解されよう。したがって、本明細書に記載される様々な実施形態は超伝導量子プロセッサの製作における使用に特によく適しているが、これらの教示は、超伝導集積回路を組み込むいかなる応用(性能基準がそれほど厳しくない応用を含む)にも適用することができる。例えば、本明細書で提供される様々な教示は、単一磁束量子(SFQ)回路にも、ジョセフソン接合を使用するいかなる回路にも適用することができる。いくつかの例では、非量子計算応用における本システムおよび方法の適用は、ある特定の制約の緩和を可能にすることができる。SFQの応用は、量子計算応用より雑音に対する感度が低い可能性があり、そのため、結果として起こる誘電体欠陥の増加をあまり考慮せずに、ジョセフソン接合品質を維持するため、低温誘電体プロセスをSFQ回路に容易に適用することができる。
また、低温誘電体堆積プロセスに加えて、ジョセフソン接合の後に(例えば、ジョセフソン接合三層の上部にまたは上方に)起こる金属堆積プロセスも、絶縁バリアが劣化するポイントへの接合の加熱をもたらし得る。本システムおよび方法によれば、後続の金属堆積プロセスによるジョセフソン接合三層の望まない加熱は、複数の段階で金属堆積プロセスを実行し、段階間でのシステムの冷却を可能にすることによって回避することができる。
図15は、本システムおよび方法による、複数段階の金属堆積を実行する方法1500を示す。方法1500は、5つの動作または行為1501~1505を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。1501では、集積回路上に金属層の第1の部分を堆積させるため、金属堆積プロセスの第1の段階を開始する。堆積させる金属は、ニオブまたはアルミニウムなどの超伝導金属であり得、金属堆積プロセスは、CVD、PVDまたはALDなどのいかなる堆積技法も使用することができる。金属を堆積させるプロセスは、集積回路の加熱をもたらし得る。1502では、高温に対する感度が高い既存のコンポーネント(例えば、ジョセフソン接合三層)が損傷を受ける恐れがあるポイントへの集積回路の加熱を防ぐため、金属堆積プロセスの第1の段階を停止する。集積回路の温度(または堆積が行われるチャンバの温度)は、金属堆積の第1の段階の間モニタすることができ、金属堆積プロセスは、モニタしている温度が既定の閾値に近づくかまたは既定の閾値を超えるように見える際に停止することができる。あるいは、以前のデータおよび/または計算に基づいて目標堆積時間を事前に決定することができ、事前に定義された目標堆積時間が経過した時点で、金属堆積プロセスの第1の段階を停止することができる。1503では、集積回路を冷却する。金属堆積プロセスが停止されているため、時間の経過と共に回路を受動的に冷却することができ、行為1503は、単に、回路が冷却されるまで待つ工程を伴う。いくつかの実施形態では、回路は、アルゴンなどの不活性ガスで堆積チャンバを満たすことによって能動的に冷却することができる。一般に、ガスの圧力が高いほど、より優れた熱化を提供するが、もちろん、圧力は、チャンバの強度、冷却時間などの要因によって制限される。1504では、金属層の以前の部分の上部にまたは金属層の以前の部分を覆って金属層の追加の部分を堆積させるため(すなわち、金属層の堆積を再開するため)、金属堆積プロセスの追加の段階を開始する。金属堆積の追加の段階は、所望の金属層の厚さの合計(すなわち、金属層の第1の部分の厚さに金属層の追加の部分の厚さを足した和)を堆積させるまで、または、閾値温度/時間に再び達するまで、続けることができる。1505aでは、所望の金属層の厚さの合計に達し、複数段階の金属層堆積プロセスを完了する。1505bでは、所望の金属の厚さの合計に達する前に、回路の温度が閾値温度に達したため、所望の金属層の厚さの合計に達するまで、行為1502~1505を繰り返す。
本明細書に記載される様々な実施形態は、多層の超伝導集積回路の製作のためのシステムおよび方法を提供する。そのような回路は、通常、層ごとに製作され(一度に1つの層ずつ、層間にビア接続を含めて)、したがって、各層の特徴がその上方および/または下方の1つまたは複数の層の特徴と正しく位置合わせされることを保証することが重要である。例えば、ビア接続によって第1の層の特徴に接続されるべき第2の層の特徴は(第2の層が第1の層の上方にある場合)、通常、第1の層の特徴の上方で正しく位置合わせする必要がある。半導体製作産業全体を通じて、「オープンフレームマスキングおよびエッチング」として知られているプロセスは、このアライメントを提供するために使用される場合が多い。オープンフレームマスキングおよびエッチング技法は、導電または絶縁層を堆積させる前に「ゼロマーク」または「アライメントマーク」で基板にマーク付けする工程を伴う。次いで、基板を覆って後続の各層を堆積させる前に、ゼロマークが「見える」必要がある。これは、導電層を堆積させた後、導電層のパターニングを正しく位置合わせすることができるように、基板のゼロマーク上を覆う導電層の領域をエッチングで取り出して、ゼロマークを露出させる必要があることを意味する。薄い誘電体層(例えば、SiO)は、通常、エッチングなしでゼロマークを見えるようにすることを可能にするほど十分に透明である。
したがって、オープンフレームマスキングおよびエッチングアライメント技法の超伝導バージョンでは、超伝導金属層を堆積させ、ゼロマーク近くのオープン領域を除く超伝導金属層の表面を完全にカバーする超伝導金属層上にまたは超伝導金属層を覆って第1のフォトレジストマスク層を堆積させる。次いで、基板のゼロマークを明らかにするため、超伝導金属層の露出領域をエッチングで取り出すエッチングを適用する。次いで、残留するいかなるフォトレジストも剥ぎ取り、次いで、超伝導金属層上にまたは超伝導金属層を覆って第2のフォトレジストマスク層を堆積させ、ここでは、第2のフォトレジストマスク層は、基板の露出ゼロマークと位置合わせした超伝導金属層に対する回路パターンを提供する。このプロセスは、集積回路積層体の後続の各超伝導金属層に対して繰り返される。上記に述べられるように、オープンフレームマスキングおよびエッチングプロセスは、半導体産業で一般的に使用される。残念ながら、ニオブなどの超伝導金属を使用して同じ技法が適用されると、同じ超伝導(例えば、ニオブ)金属層を2つのフォトレジストマスキングおよびエッチング動作(すなわち、ゼロマークを露出させるための第1の動作と、次いで、ゼロマークと位置合わせした回路パターンを適用するための第2の動作)に露出することは、集積回路の性能に悪影響を及ぼす恐れがある望ましくない残留物(例えば、フォトレジスト残留物および/または金属残留物、Nb欠陥な)の形成を超伝導金属層の表面にもたらすことが分かっている。したがって、当技術分野において、1つの超伝導金属層当たりのマスキングおよびエッチング動作の数を低減する、超伝導集積回路の複数の層を位置合わせする代替の方法の必要性が存在する。
本システムおよび方法によれば、多層の超伝導集積回路の層は、製作の間、それぞれのアライメントマークを各誘電体層にエッチングすることによって、正しく位置合わせすることができる。この手法では、基板上でのゼロマーキングは不要である。代わりに、第1の超伝導金属層は、回路配線およびアライメントマークを含むようにパターニングすることができる。誘電体層は、第1の超伝導金属層上にまたは第1の超伝導金属層を覆って堆積させることができる。誘電体層(例えば、SiO)は、第1の超伝導金属層のアライメントマークが誘電体層を通して識別できるほど十分に透明であり得る。次いで、誘電体層は、第1の超伝導金属層の特定の部分を露出させる穴を提供するようにパターニングおよびエッチングすることができ、これらの穴は、最終的には、第1の超伝導金属への超伝導ビア接続に対応する。そのような誘電体層のパターニングおよびエッチングは、標準のものである。しかし、本システムおよび方法によれば、誘電体層のパターンは、第1の超伝導金属層のアライメントマーク上を覆うアライメントマークも含み得る。このアライメントマークは、誘電体層にエッチングされ、容易に、第1の超伝導金属層のアライメントマーク上を覆うようにすることができるが、その理由は、誘電体層が十分透明であるためである。次いで、誘電体層の上部にまたは誘電体層を覆って第2の超伝導金属層を堆積させる。第2の超伝導金属層の堆積は、誘電体層のパターニング済みの穴を充填し(または少なくとも部分的に充填し)、第1の超伝導金属層への超伝導ビア接続を提供する。また、第2の超伝導金属層の堆積は、誘電体層にエッチングされたアライメントマークも少なくとも部分的に充填する。アライメントマークは、アライメントマークが第2の超伝導金属層の堆積によって充填されるという事実により、アライメントマークが第2の超伝導金属層の上面で識別できるようになるように設計することができる(例えば、サイズおよび/または形状において)。例えば、アライメントマークがかなり大きいものである場合、金属が「填まり込んで」マークを充填するため、アライメントマークの刻印は、第2の超伝導金属層の上面で識別できるものであり得る。したがって、第1の超伝導金属層のアライメントマークは、第2の超伝導金属層に刻印を残すように、上層の誘電体層で再現される。次いで、第2の超伝導金属層に対するパターンを提供するフォトレジストマスクを堆積させ、アライメントマークの刻印に位置合わせすることができる。次いで、このプロセスは、いかなる数の追加層に対しても繰り返すことができる。
図16は、超伝導金属層1622のアライメントマークの刻印1680を示す、例示的な超伝導集積回路1600の一部分の断面図である。回路1600は、基板1630を含み、その上に、第1の超伝導金属層1621を堆積させている。層1621は、配線特徴1631、1632およびアライメントマーク1650を画定するため、パターニング済みである(例えば、マスキングおよびエッチングによって)。誘電体層1640は、パターニング済みの金属層1621を覆って堆積させている。また、誘電体層1640は、金属層1621のアライメントマーク1650および配線特徴1631、1632を露出させるようにパターニングもされている(例えば、マスキングおよびエッチングによって)。金属層1622は、誘電体層1640を覆って堆積させ、誘電体層1640のオープンの特徴を充填して、超伝導ビア1661、1662と、アライメントマーク1650上を覆う金属層1622の上面の刻印1680とを生成している。刻印1680は、アライメントマーク1650のサイズおよび/または形状により生じ得る。様々な実施形態では、アライメントマーク1650の性質に応じて、刻印1680は、少なくとも1つの凹部、少なくとも1つの突出部、複数の凹部、複数の突出部、および/または、少なくとも1つの凹部と少なくとも1つの突出部との組合せを含み得る。刻印1680は、後続の金属層1622のリソグラフィ処理の間に識別できるものであり得、それにより、金属層1622の上部のフォトレジストマスク層の堆積を位置合わせするための基準点として機能する。金属層1622の上部にまたは金属層1622を覆って追加の金属層(図示せず)を堆積させることになっている場合は(例えば、追加の誘電体層の堆積の後)、刻印1680を金属層1622の新しいアライメントマークにパターニングすることができる(あるいは、刻印1680をエッチングで取り出して、新しいアライメントマークを金属層1622の他の場所にパターニングすることができる)。上層の金属層に刻印を残すためにアライメントマークを誘電体層にパターニングするこのプロセスにより、オープンフレームマスキングおよびエッチングアライメント技法と関連付けられる追加の金属パターニング動作または行為なしで、積層体において複数の層を位置合わせすることが可能になる。このように、潜在的に望ましくないフォトレジストおよび/または金属残留物は、回避することも、低減することもできる。いくつかの実施形態では、アライメントマーク1650は、製作プロセスに固有の他の表面特徴と容易に区別することができる独特の刻印1680を提供するように設計することができる。例えば、アライメントマークは、回路パターン自体の特徴よりかなり(例えば、複数倍)大きいもの、および/または、1つもしくは複数の独自の形状を具体化するものであり得る。図16の文脈で説明されるプロセスは、図17で要約される。
図17は、本システムおよび方法による、オープンフレームおよびマッチング技法を使用することなく、多層の超伝導集積回路の複数の層を位置合わせするための方法1700を示す。方法1700は、5つの動作または行為1701~1705を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。1701では、少なくとも1つのアライメントマークを含むように第1の超伝導金属層をパターニングする。以前に説明されるように、アライメントマークは、大きいものであり得るか、またはそうでなければ、後続のリソグラフィ段階の間に刻印を識別できるように、上層の金属層に認識可能な刻印を残すように特に設計することができる。1702では、第1の超伝導金属層上にまたは第1の超伝導金属層を覆って第1の誘電体層を堆積させる。1703では、ビアの位置にオープンホールを画定し、少なくとも1つのアライメントマークを露出させるように第1の誘電体層をパターニング(例えば、マスキングおよびエッチング)する。第1の誘電体層は、少なくとも部分的に透明なものであり得、少なくとも1つのアライメントマークは、第1の誘電体層を通して識別できるものであり得る。1704では、オープンホールを少なくとも部分的に充填し、ビア接続を提供するため、第1の誘電体層上にまたは第1の誘電体層を覆って第2の超伝導金属層を堆積させる。また、第2の超伝導金属層は、少なくとも1つのアライメントマークをカバーし、それにより、第2の超伝導金属層の反対側の(すなわち、露出した)表面上に対応する刻印が残る。1705では、フォトレジストマスクを第2の超伝導金属層上(例えば、第2の超伝導金属層の露出表面上)のアライメントマークの刻印に位置合わせする。次いで、第2の超伝導金属層上にまたは第2の超伝導金属層を覆ってフォトレジストマスクを堆積させることができ、追加の超伝導金属層が必要な場合は、行為1701~1705を繰り返すことができる。後続の層のアライメントマークは、第1の超伝導金属層のアライメントマーク上を覆うことができるか、または、後続の金属層のアライメントマークは、第1の超伝導金属層のアライメントマーク上を覆わない位置に配置することができる。
上記で説明されるアライメントの論点は、いくつかの例では、加法的パターニングプロセス(ダマシンプロセスまたはデュアルダマシンプロセスなど)が使用される場合は回避することができる。
米国特許出願公開第2011-0089405号明細書は、超伝導集積回路における抵抗器材料としての白金の使用について記載している。本システムおよび方法によれば、白金は、スパッタプロセスを介して堆積させることができ、チタンなどの中間材料の薄層を使用して、白金と白金を堆積させている表面との間の付着性を向上させることができる。すなわち、白金がSiOなどの誘電材料上にまたは誘電材料を覆って堆積させる抵抗器として使用されることになっている場合は、最初に、誘電体表面上に薄い「付着層」(例えば、チタンで形成される)を堆積させ(例えば、スパッタプロセスを介して)、次いで、付着層上に直接、白金を堆積させることができる。次いで、例えば、エッチャントのClおよびSF化学を使用して、リソグラフィプロセスを介してチタン-白金(TiPt)の積層体をパターニングおよびエッチングすることができる。
以前に説明されるように、ニオブを含むいくつかの超伝導金属は、ビアホールを自然に充填することはあまりうまくできない。この結果、ビアを使用する超伝導集積回路の配線層間の接触不良が起こる。本システムおよび方法によれば、ビア充填は、ビアホールのエッチングプロファイルを変更することによって改善することができる。通常、ビアホールは、実質的に滑らかで、実質的に垂直な側壁を形成するようにエッチングされる(例えば、図12E~12Gのビア1261および1262ならびに図16のビア1661および1662を参照)。本システムおよび方法によれば、凹凸のあるおよび/または非垂直側壁を有するビアホールの形成は、ニオブなどの超伝導金属を次に堆積させると、ビア充填を改善することができる。したがって、凹凸のあるおよび/または非垂直側壁を有するビアホールは、多層の超伝導集積回路の配線層間の電気接続を改善すること、および/または、高アスペクト比(例えば、約0.7:1より大きいアスペクト比)のビアの製作を可能にすることができる。非垂直側壁を有するビアの一例は、テーパビアである。テーパビアを製作するための技法は、半導体産業で一般的に知られており、本システムおよび方法によれば、同じ技法(例えば、エッチャント化学など)の多くは、超伝導集積回路の層間の超伝導電気接続を改善するため、超伝導ビアの製作において使用することができる。
図18は、本システムおよび方法による、非垂直側壁1861および1862を有する超伝導ビア1860を示す、例示的な超伝導集積回路1800の一部分の断面図である。図18に示されるように、側壁1861および1862は、ビア1860の上部は広く、下部は狭くなるように、テーパが付されている。テーパ超伝導ビア1860は、超伝導配線層1852と超伝導配線層1851との間の超伝導電気接続を提供する。示されるように、超伝導配線層1851は、ジョセフソン接合の上部/対電極を提供する。テーパ超伝導ビア1860は、半導体産業におけるテーパビアをエッチングするための公知の技法に従ってエッチングすることができるが、本システムおよび方法によれば、超伝導配線層1851の超伝導金属(例えば、ニオブ)は、テーパビア1860をエッチングする際のエッチング停止として使用することができる(半導体産業においてエッチング停止として、より一般的に使用される材料とは対照的に)。ビア1860のテーパプロファイルが誘電体層1840にエッチングされた時点で、誘電体層1840を覆って超伝導金属(例えば、ニオブ)1852を堆積させ、ビア1860を充填することができる。側壁1861および1862のテーパプロファイルは、例えば、垂直側壁を有するビアプロファイルと比べて、超伝導金属1852でのビア1860の充填の改善を容易にすることができる。したがって、超伝導金属層1852と超伝導金属層1851との間の改善された超伝導電気接続を確立することができ、そこでは、超伝導金属層1851(例えば、ニオブ)は、テーパビア1860のエッチングの間のエッチング停止としても、回路1800の超伝導配線層としても両方機能する。
いくつかの事例では、超伝導ビアの不完全な充填は、ビアホールの過剰エッチングおよび下層の超伝導金属への掘り下げの結果であり得る。そのような過剰エッチングは、ビアの側壁の真下の金属(例えば、ニオブ)中の溝を生成し得、次にビアが超伝導金属(例えば、ニオブ)で充填された時点で、ビア側壁上の超伝導金属とビアの真下の下層の超伝導金属との間の薄い物理接続が生じる。薄い物理接続は、通常、電気接続不良を起こす。本システムおよび方法によれば、下層の超伝導金属への過剰エッチングは、下層の超伝導金属を覆って保護キャップ層を堆積させることによって低減することができる。例えば、超伝導金属層は、保護材料の薄層(窒化チタンまたはシリコン窒化物など)で覆うことができる。次に、覆われた超伝導金属層の上部でビアがエッチングされると、保護キャップ層は、超伝導金属層への過剰エッチングを防ぎ、最終的に、ビア側壁上の超伝導金属と真下の超伝導金属層との間のより優れた電気接続を提供することができる。保護キャップ層は、超伝導材料であり得る。窒化チタンは、ニオブ配線層上のキャッピング層の提供に特によく適しているが、その理由は、窒化チタンは約4.2K未満で超伝導であり得るため、窒化チタンはニオブほど酸化しないため、および、窒化チタンはニオブ化学エッチングでうまくエッチングするが、SiO化学エッチングに対して(すなわち、ビアエッチングの間に)優れたエッチング停止として機能するためである。いくつかの応用では、超伝導材料で形成される保護キャッピング層がパターニング済みの超伝導金属層の要素間(例えば、超伝導配線層の超伝導性経路またはトレース間)での超伝導短絡を招くことがないことを保証することが有利である。そのような短絡は、例えば、パターニングプロセスの間にキャッピング層もパターニングされるように、超伝導金属層をパターニングする前に超伝導金属層を覆って保護キャッピング層を堆積させることによって回避することができる。
図19は、本システムおよび方法による、超伝導ビアを形成するための方法1900を示す。方法1900は、6つの動作または行為1901~1906を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。1901では、第1の超伝導金属層を堆積させる。第1の超伝導金属層は、ニオブまたはアルミニウムなどの超伝導金属を含み得、基板もしくは誘電体層を覆って、または、酸化アルミニウム層などの絶縁バリアを覆って堆積させることができる。第1の超伝導金属層は、例えば、超伝導配線層またはジョセフソン接合の超伝導対電極層であり得る。1902では、第1の超伝導金属層を覆って超伝導保護キャッピング層を堆積させる。超伝導保護キャッピング層は、例えば、窒化チタン、窒化チタンニオブまたは他の任意の適切な材料を含み得る。1903では、第1の超伝導金属層をパターニングする(例えば、以前に説明されるようなフォトレジストマスキングおよびエッチングプロセスを介して)。第1の超伝導金属層をパターニングする工程は、パターニングプロセス後に残留する第1の超伝導金属層の部分(例えば、超伝導金属層の配線パターン、および/または、超伝導金属層のジョセフソン接合対電極の画定)がそれを覆う超伝導保護キャッピング層を保持するように、同じパターンで超伝導保護キャッピング層をパターニングする工程を必然的に含む。1904では、パターニング済みの超伝導保護キャッピング層および第1の超伝導金属層を覆って誘電体層を堆積させる。誘電体層は、以前に説明されるように、例えば、シリコン酸化物またはハイブリッド誘電体層を含み得る。1905では、超伝導保護キャッピング層および第1の超伝導金属層のうちの少なくとも1つの一部分を露出させるため、誘電体層を通り抜ける穴をエッチングする。エッチングプロセスは、超伝導保護キャッピング層が露出した際に停止することができるか、エッチングプロセスは、超伝導保護キャッピング層に少なくとも部分的に続けることができるか、または、エッチングプロセスは、第1の超伝導金属層が露出した際に停止することができる。いずれの場合も、超伝導保護キャッピング層は、エッチングプロセスの間に穴の形状を維持する上で、および、第1の超伝導金属層への過剰エッチングを防ぐ上で役立てることができる。1906では、誘電体層を覆って第2の超伝導金属層を堆積させる。第2の超伝導金属層は、誘電体層を通り抜ける穴を少なくとも部分的に充填し、超伝導保護キャッピング層および第1の超伝導金属層のうちの少なくとも1つとの超伝導電気接続(すなわち、超伝導ビア)を形成することができる。
図20は、本システムおよび方法による、超伝導金属層2021を覆って超伝導保護キャッピング層2051を含む、超伝導集積回路2000の一部分の断面図である。超伝導集積回路2000は、誘電体層2031によって超伝導金属層2021から分離される超伝導金属層2022をさらに含む。超伝導金属層2021および2022の各々は、例えば、ニオブを含み得る。超伝導金属層2021は、超伝導ビア2061を通じて超伝導金属層2022と超伝導的に結合される。図19では、ビア2061は、超伝導金属層2021を露出させることなく、超伝導保護キャッピング層2051に部分的にエッチングされる形で示されている。上記で説明されるように、超伝導保護キャッピング層2051は、超伝導ビア2061の形成の間の超伝導金属層2021への過剰エッチングを防ぐことができ、それにより、超伝導金属層2021と超伝導金属層2022との間の超伝導電気接続を改善する。超伝導保護キャッピング層2051は、上記で説明されるように、例えば、窒化チタン、窒化チタンニオブまたは任意の適切な材料を含み得る。
以前に説明されるように、ジョセフソン接合の挙動は、その臨界電流と呼ばれる特性による影響を受ける。ジョセフソン接合の臨界電流は、接合が電圧状態に切り替わることなく、接合中を流れることができる電流の最大量(所定の外部磁場に対する、通常、ゼロ外部磁場で報告される)である。ジョセフソン接合の臨界電流は、接合の面積および絶縁バリアの厚さを含む、要因の数に依存する。絶縁バリアの所定の厚さに対し、接合の面積が大きいほど、その臨界電流は大きくなる。同様に、接合の所定の面積に対し、絶縁バリアの厚さが大きいほど、その臨界電流は低くなる。三層ジョセフソン接合を使用する超伝導集積回路では、通常、均一のバリア厚さを有する単一の三層を堆積させ、異なる面積の接合を形成するように三層をパターニングすることよって、異なる臨界電流の接合を実現させる。例えば、第1の臨界電流を有する第1のジョセフソン接合と、第2の臨界電流を有する第2のジョセフソン接合とが回路に必要とされ、第2の臨界電流が第1の臨界電流より大きい場合、第2のジョセフソン接合は、第1のジョセフソン接合より大きい面積を有するように設計および配置することができる。この手法は、比較的小型の回路および/または同様の臨界電流を有するジョセフソン接合を使用する回路に適しているが、大型の複雑な回路および/または広範囲の臨界電流にわたるジョセフソン接合を使用する回路にとって問題になり得る。例えば、第1の臨界電流を有するジョセフソン接合の第1のセットおよび第2の臨界電流を有するジョセフソン接合の第2のセットを形成するために単一の三層を利用し、第2の臨界電流が第1の臨界電流よりはるかに大きい回路では、接合の第2のセットの各接合の面積は、接合の第1のセットの各接合の面積よりはるかに大きいものである必要がある。ジョセフソン接合の第2のセットの接合の大きな面積は、集積回路自体の全フットプリント(すなわち、面積)を望ましくない方法で増加し得、回路を電気入力/出力システムに接続する際および/または周囲の磁場からから回路を守る際に、複雑な事態を招く恐れがあり、最終的に、その意図する応用に対して、集積回路を大き過ぎるものにし得る。いくつかの応用では、集積回路内に別個の三層を堆積させることによって、これらの問題を克服することが可能であり得、そこでは、第2の三層は、第1の三層のものとは異なる絶縁バリア厚さを使用する。しかし、第2の三層を堆積させることにより、集積回路積層体の層の数がかなり増加し、それに従って、積層体の製作で必要とされる加工処理工程の数がかなり増加する。そのようなものは、欠陥の可能性を増大させ、一般に、完全に機能する回路の生成の可能性を低くし得る。また、第2の三層は必ず、第2の三層を堆積させる表面が基板と比べてあまり滑らかでも、あまり平らでもない可能性のある、回路積層体の上位層で堆積させなければならないため(すなわち、第1および第2の三層を共に基板上に堆積させることはできない)、第2の三層の均一の絶縁バリア厚さを達成することは、非常に難易度の高いものでもあり得る。
ジョセフソン接合の絶縁バリアの厚さは、ジョセフソン接合の「臨界電流密度」または「J」として知られているパラメータに影響を及ぼす。Jは、本質的には、ジョセフソン接合の単位面積当たりの臨界電流の測定値であり、通常、絶縁バリアが厚いほど、低いJを生み出し、通常、絶縁バリアが薄いほど、高いJを生み出す。
本システムおよび方法によれば、異なる臨界電流を有するジョセフソン接合は、単一の超伝導集積回路において、ジョセフソン接合三層を、異なる厚さの2つの絶縁バリアを有するジョセフソン接合「五層」と置き換えることによって実現することができる。ジョセフソン接合「五層」は、5つの層、すなわち、第1のベース電極として機能する超伝導材料の第1の層(例えば、ニオブ)と、第1のJを有する第1の絶縁バリア(例えば、以前に説明されるように、アルミニウム上に成長させた酸化アルミニウムを含む、酸化アルミニウム)と、第1の対電極と第2のベース電極の両方として機能する超伝導材料の第2の層(例えば、ニオブ)と、第1のJとは異なる第2のJを有する第2の絶縁バリア(例えば、アルミニウム上に成長させた酸化アルミニウムを含む、酸化アルミニウム)と、第2の対電極として機能する超伝導材料の第3の層(例えば、ニオブ)とを備え得る。より詳細に説明されるように、一般に、第2のJが第1のJより低くなるように第2の絶縁バリアが第1の絶縁バリアより厚い方が有利であり得る。
図21Aは、本システムおよび方法による、ジョセフソン接合五層2110を含む、超伝導集積回路2100aの一部分の断面図である。五層2110は、ニオブで形成される第1のベース電極2111と、酸化アルミニウムで形成される第1の絶縁バリア2112(以前に説明されるように、第1の絶縁バリア2112の成長を目的として、アルミニウム層は、第1のベース電極2111と第1の絶縁バリア2112との間に配置することができる)と、第2のベース電極としても機能し得る、ニオブで形成される第1の対電極2113と、酸化アルミニウムで形成される第2の絶縁バリア2114(以前に説明されるように、第2の絶縁バリア2114の成長を目的として、アルミニウム層は、第1の対電極2113と第2の絶縁バリア2114との間に配置することができる)と、ニオブで形成される第2の対電極2115とを含む。図21Aに示されるように、第1の絶縁バリア2112は、第2の絶縁バリア2114より実質的に薄い。その結果、第1の絶縁バリア2112は、第2の絶縁バリア2114より実質的に高いJを有する。本システムおよび方法によれば、五層2110は、絶縁バリア2112または絶縁バリア2114によって臨界電流が決定されるジョセフソン接合を形成するようにパターニングすることができる。したがって、五層2110は、完全な第2の三層と比べて少数の層および少数の加工処理工程を使用しながら、ジョセフソン接合回路要素を画定する際の複数のJの使用を可能にする。その上、五層2110は、第2の絶縁バリア2114と基板との間の層の数を最小化し、その結果、第2の絶縁バリア2114は、回路積層体において高位に堆積させた場合より平らで、厚さが均一であり得る。
上記で説明されるように、第1の絶縁バリア2112は、第2の絶縁バリア2114より実質的に高いJを有する(すなわち、薄い)。本システムおよび方法によれば、大部分の応用に対し、ジョセフソン接合五層において、Jが高い方のバリアをJが低い方のバリアの下方に配置する(あるいは、Jが低い方のバリアをJが高い方のバリアの上方に配置する)ことが有利である。これは、三層積層体と同様に、電流が五層積層体の層中を「垂直に」流れるためである。第1の絶縁バリア2112と第2の絶縁バリア2114の両方を含む個々のジョセフソン接合では、2つの絶縁バリアは、事実上、互いに直列であり、接合の臨界電流は、2つのJのうちの低い方のJによって決定される。ジョセフソン接合のパターニングに使用されるフォトレジストマスキングおよびエッチング技法の性質により、ジョセフソン接合を画定するため、最下部の絶縁バリア(すなわち、第1の絶縁バリア2112)を定位置に残しながら、五層積層体から最上部の絶縁バリア(すなわち、第2の絶縁バリア2114)を取り除くことは簡単なことであるが、最上部の絶縁バリアを定位置に残しながら、最下部の絶縁バリアを取り除くことはかなり難しいことである。したがって、五層2110にパターニングされたジョセフソン接合は、一般に、i)第1の絶縁バリア2112と第2の絶縁バリア2114の両方(その結果、接合の臨界電流は、Jが低い方の絶縁バリアによって(すなわち、第2の絶縁バリア2114によって)決定される)、または、ii)第1の絶縁バリア2112のみ(その結果、接合の臨界電流は、絶縁バリア2112によって決定される)を含むことになる。第1の絶縁バリア2112のみが存在する場合は、ジョセフソン接合の臨界電流は、第1の絶縁バリア2112のJによって決定される。しかし、第1の絶縁バリア2112と第2の絶縁バリア2114の両方が存在する場合は、ジョセフソン接合の臨界電流は、2つのJのうちの低い方のJによって決定される(この場合、臨界電流は、第2の絶縁バリア2114によって決定される)。したがって、2つの異なる臨界電流のジョセフソン接合の形成を可能にするため、最上部の絶縁バリア(すなわち、第2の絶縁バリア2114)のJが最下部の絶縁バリア(すなわち、第1の絶縁バリア2112)のJより低い方が有利である。最下部の絶縁バリア(すなわち、第1の絶縁バリア2112)が2つのJのうちの低い方のJを有すれば、最下部の絶縁バリア(すなわち、第1の絶縁バリア2112)によって定義された臨界電流を有する接合のみが実際に形成され得る。
図21Bは、本システムおよび方法による、例示的な超伝導集積回路2100bの一部分の断面図である。図21Bは、五層2110を使用して2つのジョセフソン接合2121および2122が画定された後の図21Aからの超伝導集積回路2100aについて描写する。接合2121は、第1の絶縁バリア2112と第2の絶縁バリア2114の両方のパターニング済みの部分を含み、接合2122は、第1の絶縁バリア2112のパターニング済みの部分のみを含む。したがって、接合2122の臨界電流は、第1の絶縁バリア2112のJによって決定され、接合2121の臨界電流は、第1の絶縁バリア2112のJと第2の絶縁バリア2114のJのうちの低い方のJによって決定される。第2の絶縁バリア2114は、第1の絶縁バリア2112より厚く、したがって、第2の絶縁バリア2114は、第1の絶縁バリア2112より低いJを有する。したがって、接合2121の臨界電流は、第2の絶縁バリア2114のJによって決定される。しかし、第2の絶縁バリア2114のJが第1の絶縁バリア2112のJより高い場合は、接合2121の臨界電流は、第1の絶縁バリア2112のJによって決定されることになり、第2の絶縁バリア2114の存在にもかかわらず、接合2121および接合2122は両方とも、同じ臨界電流(同じ接合面積に対し)を有することになることに留意されたい。
実質的に異なる臨界電流を有するジョセフソン接合を含むことが望ましい超伝導集積回路の一例は、ローカルのオンチップメモリおよび/または制御回路を有する超伝導量子プロセッサである。そのような回路では、超伝導量子ビットは、第1の臨界電流(または臨界電流の第1の範囲)を有するジョセフソン接合を使用することができ、オンチップメモリ/制御回路は、第1の臨界電流(または臨界電流の第1の範囲)とは実質的に異なる第2の臨界電流(または臨界電流の第2の範囲)を有するジョセフソン接合を使用することができる、単一磁束量子(SFQ)、量子磁束パラメトロン(QFP)または他の超伝導論理回路(これらに限定されないが、その各々の全体が参照により本明細書に組み込まれる、米国特許第8,098,179号明細書、米国特許第7,876,248号明細書、米国特許第8,035,540号明細書、米国特許第7,843,209号明細書、米国特許第8,018,244号明細書および米国特許出願公開第2011-0065586号明細書に記載されているスキームを含む)を使用することができる。ジョセフソン接合五層を使用することにより、メモリ/制御回路は、大面積ジョセフソン接合を必要とすることなく、量子プロセッサアーキテクチャに統合することができ、そのようなものは、プロセッサの面積を低減することができ、例えば、量子ビットサイズの最小化を可能にする(量子ビット回路への雑音の結合を低減するため、量子ビットサイズは、量子プロセッサにおいて有利に最小化される)。
図22は、本システムおよび方法による、ジョセフソン接合五層を形成するための方法2200を示す。方法2200は、5つの動作または行為2201~2205を含むが、当業者であれば、代替の実施形態では、ある行為を省略することおよび/または追加の行為を追加することができることが理解されよう。当業者であれば、示される行為の順番は、単なる例示を目的として示され、代替の実施形態では変更できることが理解されよう。2201では、第1の超伝導金属層を堆積させる。第1の超伝導金属層は、例えば、ニオブを含み得、誘電体層または基板上に堆積させることができる。2202では、第1の超伝導金属層を覆って第1の絶縁バリアを堆積させる。第1の絶縁バリアは、例えば、酸化アルミニウムを含み得、第1の超伝導金属層を覆って第1の絶縁バリアを堆積させる工程は、第1の超伝導金属層の上部にアルミニウム層を堆積させる工程と、アルミニウム層上で酸化アルミニウム層を成長させる工程とを含み得る。第1の絶縁バリアは、第1の臨界電流密度(Jc1)を提供する第1の厚さを有し得る。2203では、第1の絶縁バリアを覆って第2の超伝導金属層を堆積させる。第2の超伝導金属層は、例えば、ニオブを含み得る。2204では、第2の超伝導金属層を覆って第2の絶縁バリアを堆積させる。第2の絶縁バリアは、例えば、酸化アルミニウムを含み得、第2の超伝導金属層を覆って第2の絶縁バリアを堆積させる工程は、第2の超伝導金属層の上部にアルミニウム層を堆積させる工程と、アルミニウム層上で酸化アルミニウム層を成長させる工程とを含み得る。第2の絶縁バリアは、第2の臨界電流密度(Jc2)を提供する第2の厚さを有し得る。2205では、第2の絶縁バリアを覆って第3の超伝導金属層を堆積させる。第3の超伝導金属層は、例えば、ニオブを含み得る。本システムおよび方法によれば、例えば、超伝導量子プロセッサの応用において実質的に異なる臨界電流を有するジョセフソン接合の製作を容易にするため、第2の絶縁バリアの第2の厚さは、第1の絶縁バリアの第1の厚さとは実質的に異なり得る(その結果、Jc1は、Jc2とは実質的に異なる)。以前に説明されるように、第2の絶縁バリアの臨界電流密度Jc2が第1の絶縁バリアの臨界電流密度Jc1より実質的に低くなるように、第2の絶縁バリアの第2の厚さが第1の絶縁バリアの第1の厚さより実質的に大きいことを保証することは有利であり得る。次いで、本システムおよび方法に従って、ジョセフソン接合が実質的に異なる面積を有することを必要とすることなく、実質的に異なる臨界電流を有するジョセフソン接合を形成するように、方法2200によって形成された五層をパターニングすることができる。
本システムおよび方法のある特定の態様は、室温で実現することができ、ある特定の態様は、超伝導温度で実現することができる。したがって、この明細書および添付の特許請求の範囲全体を通じて、「超伝導」という用語は、「超伝導金属」などの物理構造の説明に使用される場合、適切な温度で超伝導体として振る舞うことが可能な材料を示すために使用される。超伝導材料は、必ずしも、本システムおよび方法のすべての実施形態において常に超伝導体として動作しなければならないわけではない。
示される実施形態の上記の説明は、要約で説明されるものを含めて、排他的であることも、開示されるものと全く同一の形態に実施形態を限定することも意図しない。特定の実施形態および実施例は、本明細書では、例示を目的として説明されているが、当業者によって認識されるように、本開示の精神および範囲から逸脱することなく、様々な均等な変更を行うことができる。様々な実施形態の本明細書で提供される教示は、他の超伝導性回路および構造に適用することができ、必ずしも、上記で一般的に説明される例示的な超伝導性回路および構造に適用するとは限らない。
2012年8月3日に出願された米国仮特許出願第61/608,379号明細書および2012年10月16日に出願された米国仮特許出願第61/714,642号明細書の教示は、その全体が参照により本明細書に組み込まれる。
上記で説明される様々な実施形態は、さらなる実施形態を提供するために組み合わせることができる。本明細書の特定の教示および定義と矛盾しない範囲で、この明細書で言及されるおよび/または出願データシートに列挙される、D-Wave Systems Inc.に譲渡された米国特許、米国特許出願公開、米国特許出願、外国特許、外国特許出願のすべては、その全体が参照により本明細書に組み込まれる。実施形態の態様は、様々な特許、出願および公開のシステム、回路および概念を使用してさらなる実施形態を提供するため、必要に応じて変更することができる。
上記の詳細な説明を考慮して、実施形態に対してこれらのおよび他の変更を行うことができる。一般に、以下の請求項では、使用される用語は、本明細書および特許請求の範囲で開示される特定の実施形態に請求項を限定するものと解釈すべきではなく、こうした請求項が権利付与する均等物の全範囲と共に、すべての可能な実施形態を含むものと解釈すべきである。それに従って、請求項は、本開示によって制限されない。

Claims (10)

  1. 集積回路において超伝導金属層を堆積させる方法であって、
    前記超伝導金属層の第1の部分を堆積させる工程と、
    過剰加熱を防ぐため、前記超伝導金属層の前記第1の部分の前記堆積を停止する工程と、
    前記超伝導金属層を冷却する工程と、
    前記超伝導金属層の前記第1の部分を覆って前記超伝導金属層の第2の部分を堆積させる工程と
    を含む、方法。
  2. 過剰加熱を防ぐため、前記超伝導金属層の前記第2の部分の前記堆積を停止する工程と、
    前記超伝導金属層を冷却する工程と、
    前記超伝導金属層の前記第2の部分を覆って前記超伝導金属層の第3の部分を堆積させる工程と
    をさらに含む、請求項1に記載の方法。
  3. 前記超伝導金属層の第1の部分を堆積させる工程は、前記超伝導金属層の第1の部分を堆積させて前記集積回路の一つ以上の三層ジョセフソン接合を覆う工程を含む、請求項1に記載の方法。
  4. 前記超伝導金属層の第1の部分を堆積させる工程は、ニオブ又はアルミニウムの一つを堆積させる工程を含む、請求項1に記載の方法。
  5. 過剰加熱を防ぐために前記超伝導金属層の前記第1の部分の前記堆積を停止する工程は、前記超伝導金属層の前記第1の部分の堆積中に前記集積回路の温度をモニタする工程と、前記集積回路の温度が、既定の閾値に近づくかまたは既定の閾値を超えることに応答して、前記超伝導金属層の前記第1の部分の前記堆積を停止する工程とを含む、請求項1に記載の方法。
  6. 過剰加熱を防ぐために前記超伝導金属層の前記第1の部分の前記堆積を停止する工程は、目標堆積時間後に前記超伝導金属層の前記第1の部分の前記堆積を停止する工程を含む、請求項1に記載の方法。
  7. 前記超伝導金属層を冷却する工程は、冷却時間にわたり受動的に冷却する工程を含む、請求項1に記載の方法。
  8. 前記超伝導金属層を冷却する工程は、堆積チャンバを不活性ガスで満たす工程を含む、請求項1に記載の方法。
  9. 前記超伝導金属層の第2の部分を堆積させる工程は、所望の金属層の厚さの合計が堆積されるまで前記超伝導金属層の第2の部分を堆積させる工程を含む、請求項1に記載の方法。
  10. 前記超伝導金属層の第2の部分を堆積させる工程は、閾値温度に達するまで前記超伝導金属層の第2の部分を堆積させる工程を含む、請求項1に記載の方法。
JP2023002839A 2012-03-08 2023-01-12 超伝導集積回路の製作のためのシステムおよび方法 Active JP7478268B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261608379P 2012-03-08 2012-03-08
US61/608,379 2012-03-08
US201261714642P 2012-10-16 2012-10-16
US61/714,642 2012-10-16
JP2020073654A JP7212002B2 (ja) 2012-03-08 2020-04-16 超伝導集積回路の製作のためのシステムおよび方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020073654A Division JP7212002B2 (ja) 2012-03-08 2020-04-16 超伝導集積回路の製作のためのシステムおよび方法

Publications (2)

Publication Number Publication Date
JP2023052344A JP2023052344A (ja) 2023-04-11
JP7478268B2 true JP7478268B2 (ja) 2024-05-02

Family

ID=49674017

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2014561117A Active JP6326379B2 (ja) 2012-03-08 2013-03-07 超伝導集積回路の製作のためのシステムおよび方法
JP2018078323A Pending JP2018129535A (ja) 2012-03-08 2018-04-16 超伝導集積回路の製作のためのシステムおよび方法
JP2020073654A Active JP7212002B2 (ja) 2012-03-08 2020-04-16 超伝導集積回路の製作のためのシステムおよび方法
JP2023002839A Active JP7478268B2 (ja) 2012-03-08 2023-01-12 超伝導集積回路の製作のためのシステムおよび方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2014561117A Active JP6326379B2 (ja) 2012-03-08 2013-03-07 超伝導集積回路の製作のためのシステムおよび方法
JP2018078323A Pending JP2018129535A (ja) 2012-03-08 2018-04-16 超伝導集積回路の製作のためのシステムおよび方法
JP2020073654A Active JP7212002B2 (ja) 2012-03-08 2020-04-16 超伝導集積回路の製作のためのシステムおよび方法

Country Status (3)

Country Link
US (3) US9768371B2 (ja)
JP (4) JP6326379B2 (ja)
WO (1) WO2013180780A2 (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7533068B2 (en) 2004-12-23 2009-05-12 D-Wave Systems, Inc. Analog processor comprising quantum devices
CN105914219B (zh) 2009-02-27 2018-11-13 D-波系统公司 用于制造超导集成电路的系统及方法
JP6326379B2 (ja) 2012-03-08 2018-05-16 ディー−ウェイブ システムズ,インコーポレイテッド 超伝導集積回路の製作のためのシステムおよび方法
US9425377B2 (en) * 2013-04-19 2016-08-23 The Regents Of The University Of California Tunnel junction fabrication
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US20150179914A1 (en) * 2013-12-23 2015-06-25 Intermolecular Inc. Annealed dielectrics and heat-tolerant conductors for superconducting electronics
WO2015143439A1 (en) * 2014-03-21 2015-09-24 Google Inc. Chips including classical and quantum computing processors
US10038063B2 (en) 2014-06-10 2018-07-31 International Business Machines Corporation Tunable breakdown voltage RF FET devices
EP3195377B1 (en) * 2014-08-13 2021-12-15 D-Wave Systems Inc. Method of forming superconducting wiring layers with low magnetic noise
AU2014405910B2 (en) * 2014-09-10 2018-05-17 Northrop Grumman Systems Corporation Ground grid for superconducting circuits
CN107580752B (zh) * 2015-05-14 2023-03-24 D-波系统公司 用于超导器件的频率复用谐振器输入和/或输出
US9672319B1 (en) * 2015-06-29 2017-06-06 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs with a pseudo-3D analysis mechanism
US9653398B1 (en) * 2015-12-08 2017-05-16 Northrop Grumman Systems Corporation Non-oxide based dielectrics for superconductor devices
CN109075186B (zh) 2015-12-15 2023-09-05 谷歌有限责任公司 超导凸起接合件
US10312141B2 (en) * 2016-08-16 2019-06-04 Northrop Grumman Systems Corporation Preclean methodology for superconductor interconnect fabrication
US9780285B1 (en) * 2016-08-16 2017-10-03 Northrop Grumman Systems Corporation Superconductor device interconnect structure
US10003005B2 (en) * 2016-08-23 2018-06-19 Northrop Grumman Systems Corporation Superconductor device interconnect
CA3036054C (en) * 2016-09-13 2021-10-19 Google Llc Reducing loss in stacked quantum devices
US10957841B2 (en) 2016-09-15 2021-03-23 Google Llc Capping layer for reducing ion mill damage
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
US10312142B2 (en) * 2016-11-28 2019-06-04 Northrop Grumman Systems Corporation Method of forming superconductor structures
US11617272B2 (en) 2016-12-07 2023-03-28 D-Wave Systems Inc. Superconducting printed circuit board related systems, methods, and apparatus
US11127892B2 (en) 2016-12-29 2021-09-21 Google Llc Reducing parasitic capacitance and coupling to inductive coupler modes
WO2018144601A1 (en) 2017-02-01 2018-08-09 D-Wave Systems Inc. Systems and methods for fabrication of superconducting integrated circuits
DE102017002616A1 (de) * 2017-03-20 2018-09-20 Forschungszentrum Jülich GmbH Verfahren zur in-situ Herstellung von "Majorana-Materialien - Supraleiter" Hybridnetzwerken, sowie eine durch das Verfahren hergestellte Hybridstruktur
WO2019160572A2 (en) 2017-05-16 2019-08-22 PsiQuantum Corp. Gated superconducting photon detector
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10157842B1 (en) * 2017-05-31 2018-12-18 International Business Machines Corporation Semiconductor device including superconducting metal through-silicon-vias and method of manufacturing the same
US10763419B2 (en) * 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10367134B2 (en) 2017-06-07 2019-07-30 International Business Machines Corporation Shadow mask sidewall tunnel junction for quantum computing
US10566516B2 (en) 2017-07-28 2020-02-18 PsiQuantum Corp. Photodetector with superconductor nanowire transistor based on interlayer heat transfer
US10380494B2 (en) * 2017-08-04 2019-08-13 International Business Machines Corporation Josephson junctions for improved qubits
WO2019059879A1 (en) * 2017-09-19 2019-03-28 Google Llc PILLARS AS FALLS FOR PRECISE CHIP CHIP SEPARATION
US10651362B2 (en) * 2017-09-26 2020-05-12 Microsoft Technology Licensing, Llc Method of forming superconducting apparatus including superconducting layers and traces
US10374611B2 (en) 2017-10-05 2019-08-06 PsiQuantum Corp. Superconducting logic components
US10629978B2 (en) 2017-10-30 2020-04-21 International Business Machines Corporation Multi-path interferometric Josephson isolator based on nondegenerate three-wave mixing Josephson devices
US10461445B2 (en) 2017-11-13 2019-10-29 PsiQuantum Corp. Methods and devices for impedance multiplication
US10446736B2 (en) * 2017-11-27 2019-10-15 International Business Machines Corporation Backside coupling with superconducting partial TSV for transmon qubits
US10511072B2 (en) 2017-12-01 2019-12-17 International Business Machines Corporation Switching of frequency multiplexed microwave signals using cascading multi-path interferometric Josephson switches with nonoverlapping bandwidths
US10262275B1 (en) * 2017-12-01 2019-04-16 International Business Machines Corporation Selective switching of frequency multiplexed microwave signals using cascading multi-path interferometric Josephson switches with nonoverlapping bandwidths
US10311379B1 (en) * 2017-12-01 2019-06-04 International Business Machines Corporation Isolation of frequency multiplexed microwave signals using cascading multi-path interferometric josephson isolators with nonoverlapping bandwidths
WO2019126564A1 (en) * 2017-12-20 2019-06-27 PsiQuantum Corp. Complementary metal-oxide semiconductor compatible patterning of superconducting nanowire single-photon detectors
WO2019157077A1 (en) 2018-02-06 2019-08-15 PsiQuantum Corp. Superconducting photon detector
WO2019160871A2 (en) 2018-02-14 2019-08-22 PsiQuantum Corp. Superconducting field-programmable gate array
CN111903057A (zh) 2018-02-27 2020-11-06 D-波系统公司 用于将超导传输线耦合到谐振器阵列的系统和方法
US10672971B2 (en) 2018-03-23 2020-06-02 International Business Machines Corporation Vertical transmon qubit device with microstrip waveguides
US10256392B1 (en) 2018-03-23 2019-04-09 International Business Machines Corporation Vertical transmon qubit device
US10243132B1 (en) 2018-03-23 2019-03-26 International Business Machines Corporation Vertical josephson junction superconducting device
WO2019213147A1 (en) 2018-05-01 2019-11-07 PsiQuantum Corp. Photon number resolving superconducting detector
US10615223B2 (en) 2018-06-12 2020-04-07 International Business Machines Corporation Vertical silicon-on-metal superconducting quantum interference device
US10984857B2 (en) 2018-08-16 2021-04-20 PsiQuantum Corp. Superconductive memory cells and devices
US10573800B1 (en) 2018-08-21 2020-02-25 PsiQuantum Corp. Superconductor-to-insulator devices
US11678433B2 (en) 2018-09-06 2023-06-13 D-Wave Systems Inc. Printed circuit board assembly for edge-coupling to an integrated circuit
US11101215B2 (en) 2018-09-19 2021-08-24 PsiQuantum Corp. Tapered connectors for superconductor circuits
US11719653B1 (en) 2018-09-21 2023-08-08 PsiQuantum Corp. Methods and systems for manufacturing superconductor devices
US10944403B2 (en) 2018-10-27 2021-03-09 PsiQuantum Corp. Superconducting field-programmable gate array
US10985059B2 (en) 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
US20200152851A1 (en) 2018-11-13 2020-05-14 D-Wave Systems Inc. Systems and methods for fabricating superconducting integrated circuits
US11289590B1 (en) 2019-01-30 2022-03-29 PsiQuantum Corp. Thermal diode switch
CN111508926B (zh) 2019-01-31 2022-08-30 奥特斯(中国)有限公司 一种部件承载件以及制造部件承载件的方法
US11569816B1 (en) 2019-04-10 2023-01-31 PsiQuantum Corp. Superconducting switch
US11009387B2 (en) * 2019-04-16 2021-05-18 PsiQuantum Corp. Superconducting nanowire single photon detector and method of fabrication thereof
US11422958B2 (en) 2019-05-22 2022-08-23 D-Wave Systems Inc. Systems and methods for efficient input and output to quantum processors
US11647590B2 (en) 2019-06-18 2023-05-09 D-Wave Systems Inc. Systems and methods for etching of metals
US11380731B1 (en) 2019-09-26 2022-07-05 PsiQuantum Corp. Superconducting device with asymmetric impedance
US11585695B1 (en) 2019-10-21 2023-02-21 PsiQuantum Corp. Self-triaging photon detector
US11522118B2 (en) * 2020-01-09 2022-12-06 Northrop Grumman Systems Corporation Superconductor structure with normal metal connection to a resistor and method of making the same
US11417819B2 (en) * 2020-04-27 2022-08-16 Microsoft Technology Licensing, Llc Forming a bumpless superconductor device by bonding two substrates via a dielectric layer
US11683995B2 (en) 2020-08-03 2023-06-20 International Business Machines Corporation Lithography for fabricating Josephson junctions
FR3114444B1 (fr) * 2020-09-21 2022-09-30 Commissariat Energie Atomique Puce à routage bifonctionnel et procédé de fabrication associé
CN114334906A (zh) * 2020-09-30 2022-04-12 合肥本源量子计算科技有限责任公司 一种套刻标记的制备方法
CN112670401B (zh) * 2020-12-21 2022-10-14 中国科学院上海微系统与信息技术研究所 约瑟夫森结及其超导器件与制备方法
FI20216232A1 (en) * 2021-12-01 2023-06-02 Teknologian Tutkimuskeskus Vtt Oy A superconducting device
US11809839B2 (en) 2022-01-18 2023-11-07 Robert Lyden Computer language and code for application development and electronic and optical communication
CN115233156A (zh) * 2022-07-25 2022-10-25 复旦大学 约瑟夫森结制备方法及约瑟夫森结
CN115802873A (zh) * 2022-10-24 2023-03-14 中国人民解放军战略支援部队信息工程大学 基于金属掩膜刻蚀的ald约瑟夫森结制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3263884B2 (ja) 1994-08-10 2002-03-11 スガツネ工業株式会社 天井パネルの施工法とその施工用金具
JP5243628B2 (ja) 2012-01-16 2013-07-24 リツコ 黒田 卓球用集球具

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4028714A (en) 1974-12-31 1977-06-07 International Business Machines Corporation Ultralow-power, micro-miniaturized Josephson devices having high inductance
US4454522A (en) 1981-11-05 1984-06-12 The Board Of Trustees Of The Leland Stanford Junior University Microbridge superconducting device having support with stepped parallel surfaces
US4490733A (en) 1982-10-15 1984-12-25 Sperry Corporation Josephson device with tunneling barrier having low density of localized states and enhanced figures of merit
US4554567A (en) 1983-03-21 1985-11-19 Sperry Corporation Superconductive integrated circuit incorporating a magnetically controlled interferometer
JPS60140885A (ja) 1983-12-28 1985-07-25 Hitachi Ltd 超電導素子集積回路
US4749888A (en) 1984-01-25 1988-06-07 Agency Of Industrial Science & Technology Josephson transmission line device
US4689559A (en) 1984-11-13 1987-08-25 Sperry Corporation Apparatus and method to reduce the thermal response of SQUID sensors
DE3604202C2 (de) 1985-02-14 1997-01-09 Nippon Denso Co Direkt beheizte Strömungsmeßvorrichtung
JPS6215869A (ja) 1985-07-13 1987-01-24 Nippon Telegr & Teleph Corp <Ntt> ジヨセフソン素子の作製方法
JPS6257263A (ja) 1985-09-06 1987-03-12 Agency Of Ind Science & Technol ジヨセフソン集積回路の製造方法
JPS62200777A (ja) 1986-02-28 1987-09-04 Fujitsu Ltd 超伝導薄膜の加工方法
JPS637675A (ja) * 1986-06-28 1988-01-13 Agency Of Ind Science & Technol 超伝導装置の製造方法
JPS63226981A (ja) 1987-03-16 1988-09-21 Fujitsu Ltd 超伝導集積回路装置およびその製造方法
JPH073009Y2 (ja) 1987-07-10 1995-01-30 昭和アルミニウム株式会社 吸気マニホルド
JPS6476610A (en) 1987-09-17 1989-03-22 Fujitsu Ltd Superconductive composite material
US5162298A (en) 1988-02-16 1992-11-10 International Business Machines Corporation Grain boundary junction devices using high tc superconductors
US5084438A (en) * 1988-03-23 1992-01-28 Nec Corporation Electronic device substrate using silicon semiconductor substrate
JPH027583A (ja) 1988-06-27 1990-01-11 Nippon Telegr & Teleph Corp <Ntt> 磁束量子素子
DE68926947T2 (de) 1988-12-09 1997-01-30 Canon Kk Supraleitender elektromagnetischer Wellenmischer und diesen enthaltendes Gerät
US5087605A (en) 1989-06-01 1992-02-11 Bell Communications Research, Inc. Layered lattice-matched superconducting device and method of making
IL92209A (en) 1989-11-03 1994-01-25 Afikim Kvutzat Poalim Lehiyash Thermoelectric device for heating or cooling food and drink containers
DE69026339T2 (de) 1989-11-13 1996-08-14 Fujitsu Ltd Josephson-Übergang-Apparat
EP0437971B1 (en) 1989-12-29 1995-06-14 Fujitsu Limited Josephson integrated circuit having a resistance element
FR2662856B1 (fr) 1990-06-01 1997-01-24 Thomson Csf Dispositifs haute frequence accordables.
JPH0472777A (ja) * 1990-07-13 1992-03-06 Sumitomo Electric Ind Ltd 超電導デバイス用基板
EP0476844A1 (en) 1990-09-21 1992-03-25 Trw Inc. Method for fabricating Josephson tunnel junctions with accurate junction area control
US5627139A (en) 1990-09-24 1997-05-06 The Regents Of The University Of California High-temperature superconducting josephson devices having a barrier layer of a doped, cubic crystalline, conductive oxide material
US5055158A (en) 1990-09-25 1991-10-08 International Business Machines Corporation Planarization of Josephson integrated circuit
US5962866A (en) 1991-01-22 1999-10-05 Biomagnetic Technologies, Inc. Microbridge superconductor device utilizing stepped junctions
US5157466A (en) 1991-03-19 1992-10-20 Conductus, Inc. Grain boundary junctions in high temperature superconductor films
US5880069A (en) 1991-04-11 1999-03-09 Sanyo Electric Co., Ltd. Process of making high Tc Josephson junction device
JP2500302B2 (ja) 1991-05-09 1996-05-29 株式会社日立製作所 超電導素子及び超電導回路
JPH05102547A (ja) * 1991-05-30 1993-04-23 Fujitsu Ltd ジヨセフソン集積回路装置の製造方法
JPH05251777A (ja) 1991-12-13 1993-09-28 Sumitomo Electric Ind Ltd 超電導電界効果型素子およびその作製方法
US5274249A (en) 1991-12-20 1993-12-28 University Of Maryland Superconducting field effect devices with thin channel layer
JPH05190922A (ja) 1992-01-09 1993-07-30 Hitachi Ltd 量子メモリ装置
JP2964112B2 (ja) 1992-08-11 1999-10-18 セイコーインスツルメンツ株式会社 直流駆動型超伝導量子干渉素子
US5250817A (en) 1992-08-12 1993-10-05 Microelectronics And Computer Technology Corporation Alkali barrier superconductor Josephson junction and circuit
US5358928A (en) 1992-09-22 1994-10-25 Sandia Corporation High temperature superconductor step-edge Josephson junctions using Ti-Ca-Ba-Cu-O
US5290761A (en) 1992-10-19 1994-03-01 E. I. Du Pont De Nemours And Company Process for making oxide superconducting films by pulsed excimer laser ablation
JPH0817252B2 (ja) 1993-03-05 1996-02-21 工業技術院長 電流注入型ジョゼフソン論理ゲートとその集積回路
US6051846A (en) 1993-04-01 2000-04-18 The United States Of America As Represented By The Secretary Of The Navy Monolithic integrated high-Tc superconductor-semiconductor structure
US5323520A (en) * 1993-04-29 1994-06-28 Fujitsu Limited Process for fabricating a substrate with thin film capacitor
JPH0766462A (ja) 1993-08-24 1995-03-10 Seiko Instr Inc 超伝導回路
JPH07245404A (ja) * 1994-03-04 1995-09-19 Matsushita Electric Ind Co Ltd 薄膜トランジスタおよびその電気的接続構造と薄膜トランジスタ型液晶表示装置
US5672212A (en) 1994-07-01 1997-09-30 Texas Instruments Incorporated Rotational megasonic cleaner/etcher for wafers
KR0148596B1 (ko) 1994-11-28 1998-10-15 양승택 결정 입계 채널을 갖는 초전도 전계효과 소자와 그 제조방법
JPH08236823A (ja) * 1994-12-28 1996-09-13 Fujitsu Ltd 超伝導放射線検出装置及びその製造方法
US5767043A (en) 1995-02-21 1998-06-16 Conductus, Inc. Multiple squid direct signal injection device formed on a single layer substrate
JP3329127B2 (ja) 1995-03-24 2002-09-30 松下電器産業株式会社 超伝導発振器
JPH0936449A (ja) 1995-07-24 1997-02-07 Kokusai Chodendo Sangyo Gijutsu Kenkyu Center ジョセフソン素子
AU7458796A (en) 1995-10-24 1997-05-15 Regents Of The University Of California, The High temperature superconducting josephson junctions and squids
US5804251A (en) 1995-12-29 1998-09-08 Intel Corporation Low temperature aluminum alloy plug technology
JP3690619B2 (ja) 1996-01-12 2005-08-31 忠弘 大見 洗浄方法及び洗浄装置
JPH104223A (ja) 1996-06-18 1998-01-06 Kokusai Chodendo Sangyo Gijutsu Kenkyu Center 酸化物超電導体ジョセフソン素子
US6011981A (en) 1996-03-12 2000-01-04 International Superconductivity Technology Center Oxide superconductor multilayered film and oxide superconductor josephson device
US5863868A (en) 1996-04-08 1999-01-26 Trw Inc. Superconductive quantum interference device for digital logic circuits
US5776863A (en) 1996-07-08 1998-07-07 Trw Inc. In-situ fabrication of a superconductor hetero-epitaxial Josephson junction
US5892243A (en) 1996-12-06 1999-04-06 Trw Inc. High-temperature SSNS and SNS Josephson junction and method of making junction
US6284721B1 (en) 1997-01-21 2001-09-04 Ki Won Lee Cleaning and etching compositions
US6767840B1 (en) 1997-02-21 2004-07-27 Canon Kabushiki Kaisha Wafer processing apparatus, wafer processing method, and semiconductor substrate fabrication method
US5962865A (en) 1997-04-11 1999-10-05 Trw Inc. Low inductance superconductive integrated circuit and method of fabricating the same
US5889289A (en) 1997-08-28 1999-03-30 The United States Of America As Represented By The Secretary Of The Navy High temperature superconductor/insulator composite thin films with Josephson coupled grains
KR20010024096A (ko) 1997-09-18 2001-03-26 메르다드 엠. 모슬레히 고성능 집적 회로 배선 제조 방법 및 장치
JP3278638B2 (ja) 1998-09-01 2002-04-30 日本電気株式会社 高温超伝導ジョセフソン接合およびその製造方法
US6110392A (en) 1998-09-18 2000-08-29 Trw Inc. Process for reducing surface roughness of superconductor integrated circuit having a ground plane of niobium nitride of improved smoothness
KR100283858B1 (ko) * 1998-10-22 2001-04-02 정명세 초전도 소자 제조방법
US6715944B2 (en) 1998-11-12 2004-04-06 Mitsubishi Denki Kabushiki Kaisha Apparatus for removing photoresist film
US20030089987A1 (en) * 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
US6188919B1 (en) 1999-05-19 2001-02-13 Trw Inc. Using ion implantation to create normal layers in superconducting-normal-superconducting Josephson junctions
US6362638B1 (en) 1999-09-01 2002-03-26 Agere Systems Guardian Corp. Stacked via Kelvin resistance test structure for measuring contact anomalies in multi-level metal integrated circuit technologies
JP2001111123A (ja) 1999-10-12 2001-04-20 Sumitomo Electric Ind Ltd Squid素子
US7015499B1 (en) 1999-12-01 2006-03-21 D-Wave Systems, Inc. Permanent readout superconducting qubit
KR100372889B1 (ko) 1999-12-21 2003-02-19 한국전자통신연구원 경사형 모서리 조셉슨 접합소자 및 그 제조방법
US6495854B1 (en) 1999-12-30 2002-12-17 International Business Machines Corporation Quantum computing with d-wave superconductors
US6459097B1 (en) 2000-01-07 2002-10-01 D-Wave Systems Inc. Qubit using a Josephson junction between s-wave and d-wave superconductors
CA2406371A1 (en) 2000-04-17 2001-10-25 Martin Gall Electromigration early failure distribution in submicron interconnects
US6624122B1 (en) 2000-06-21 2003-09-23 The Regents Of The University Of California High critical current superconducting tapes
US7539875B1 (en) 2000-06-27 2009-05-26 Microsoft Corporation Secure repository with layers of tamper resistance and system and method for providing same
US6569252B1 (en) 2000-06-30 2003-05-27 International Business Machines Corporation Semi-aqueous solvent cleaning of paste processing residue from substrates
US6440870B1 (en) * 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6517944B1 (en) 2000-08-03 2003-02-11 Teracomm Research Inc. Multi-layer passivation barrier for a superconducting element
US6627915B1 (en) 2000-08-11 2003-09-30 D-Wave Systems, Inc. Shaped Josephson junction qubits
US20020117738A1 (en) 2000-12-22 2002-08-29 Amin Mohammad H.S. Quantum bit with a multi-terminal junction and loop with a phase shift
WO2002069411A2 (en) 2000-12-22 2002-09-06 D-Wave Systems, Inc. Phase shift device in superconductor logic
US6627916B2 (en) 2001-03-31 2003-09-30 D-Wave Systems, Inc. High sensitivity, directional DC-squid magnetometer
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US20020180006A1 (en) 2001-05-31 2002-12-05 Marcel Franz Ferroelectric-superconductor heterostructures in solid state quantum computing systems
US6803599B2 (en) 2001-06-01 2004-10-12 D-Wave Systems, Inc. Quantum processing system for a superconducting phase qubit
US6518673B2 (en) 2001-06-15 2003-02-11 Trw Inc. Capacitor for signal propagation across ground plane boundaries in superconductor integrated circuits
US6531731B2 (en) * 2001-06-15 2003-03-11 Motorola, Inc. Integration of two memory types on the same integrated circuit
KR20040032093A (ko) 2001-08-22 2004-04-14 재단법인 국제 초전도 산업기술연구 센터 고온 초전도 조지프슨 접합, 이를 구비한 초전도 전자장치 및 고온 초전도 조지프슨 접합의 형성 방법
WO2003019686A2 (en) 2001-08-29 2003-03-06 D-Wave Systems, Inc. Submicron closed-form josephson junctions
WO2003019683A2 (en) 2001-08-29 2003-03-06 D-Wave Systems, Inc. Trilayer heterostructure josephson junctions
US20030107033A1 (en) 2001-12-06 2003-06-12 Alexander Tzalenchuk Trilayer heterostructure junctions
US6979836B2 (en) 2001-08-29 2005-12-27 D-Wave Systems, Inc. Superconducting low inductance qubit
US20030102470A1 (en) 2001-08-30 2003-06-05 Evgeni Il'ichev Oxygen doping of josephson junctions
JP2004079882A (ja) 2002-08-21 2004-03-11 National Institute Of Advanced Industrial & Technology ジョセフソン接合の作成方法及び装置
US7060508B2 (en) 2003-02-12 2006-06-13 Northrop Grumman Corporation Self-aligned junction passivation for superconductor integrated circuit
US20040191697A1 (en) 2003-03-24 2004-09-30 Communications Research Laboratory Method for processing a niobium type thin film and method for manufacturing a superconducting integrated circuit
JP2004303820A (ja) 2003-03-28 2004-10-28 Fujitsu Ltd 超伝導回路
CN100346491C (zh) 2003-06-18 2007-10-31 南京大学 高温超导材料本征结的制备方法
US7081417B2 (en) 2003-06-27 2006-07-25 Hitachi, Ltd. Manufacturing method for electronic device and multiple layer circuits thereof
JP4810074B2 (ja) 2003-06-27 2011-11-09 株式会社日立製作所 超電導デバイス用多層配線の製造方法
US7091132B2 (en) 2003-07-24 2006-08-15 Applied Materials, Inc. Ultrasonic assisted etch using corrosive liquids
US20050062131A1 (en) * 2003-09-24 2005-03-24 Murduck James Matthew A1/A1Ox/A1 resistor process for integrated circuits
US7247603B2 (en) 2003-10-23 2007-07-24 Star Cryoelectronics Charge dissipative dielectric for cryogenic devices
US20050250651A1 (en) 2004-03-29 2005-11-10 Amin Mohammad H S Adiabatic quantum computation with superconducting qubits
CN100585629C (zh) 2004-12-23 2010-01-27 D-波系统公司 包括量子装置的模拟处理器
US7533068B2 (en) 2004-12-23 2009-05-12 D-Wave Systems, Inc. Analog processor comprising quantum devices
US7619437B2 (en) 2004-12-30 2009-11-17 D-Wave Systems, Inc. Coupling methods and architectures for information processing
US7341978B2 (en) * 2005-03-04 2008-03-11 Lsi Logic Corporation Superconductor wires for back end interconnects
US7639035B2 (en) 2005-04-26 2009-12-29 D-Wave Systems, Inc. Qubit state copying
US7898282B2 (en) 2005-04-26 2011-03-01 D-Wave Systems Inc. Systems, devices, and methods for controllably coupling qubits
US7624088B2 (en) 2005-08-03 2009-11-24 D-Wave Systems Inc. Analog processor comprising quantum devices
KR100753049B1 (ko) * 2005-11-28 2007-08-30 주식회사 하이닉스반도체 반도체소자의 스토리지노드콘택플러그 형성 방법
AU2007209712A1 (en) 2006-01-27 2007-08-02 D-Wave Systems, Inc. Methods of adiabatic quantum computation
US7518481B2 (en) 2006-06-30 2009-04-14 Intel Corporation Slotted magnetic material for integrated circuit inductors
DE102006040585B4 (de) 2006-08-30 2013-02-07 Infineon Technologies Ag Verfahren zum Auffüllen eines Grabens in einem Halbleiterprodukt
US7615385B2 (en) 2006-09-20 2009-11-10 Hypres, Inc Double-masking technique for increasing fabrication yield in superconducting electronics
CA2669816C (en) 2006-12-05 2017-03-07 D-Wave Systems, Inc. Systems, methods and apparatus for local programming of quantum processor elements
US8195596B2 (en) 2007-01-12 2012-06-05 D-Wave Systems Inc. Systems, devices, and methods for interconnected processor topology
US7843209B2 (en) 2007-04-25 2010-11-30 D-Wave Systems Inc. Architecture for local programming of quantum processor elements using latching qubits
US8098179B2 (en) 2007-05-14 2012-01-17 D-Wave Systems Inc. Systems, methods and apparatus for digital-to-analog conversion of superconducting magnetic flux signals
CN101868802B (zh) 2007-09-24 2013-12-25 D-波系统公司 用于量子位状态读出的系统、方法以及装置
JP2009111306A (ja) * 2007-11-01 2009-05-21 Hitachi Ltd ジョセフソン接合を備えた電子デバイスとその製造方法
US8190548B2 (en) 2007-11-08 2012-05-29 D-Wave Systems Inc. Systems, devices, and methods for analog processing
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
EP2263166B1 (en) 2008-03-24 2020-02-19 D-Wave Systems Inc. Systems, devices, and methods for analog processing
WO2009149086A2 (en) 2008-06-03 2009-12-10 D-Wave Systems Inc. Systems, methods and apparatus for superconducting demultiplexer circuits
US8179133B1 (en) 2008-08-18 2012-05-15 Hypres, Inc. High linearity superconducting radio frequency magnetic field detector
CN102187489B (zh) 2008-09-03 2014-02-26 D-波系统公司 用于量子处理器元件的有效补偿的系统、方法及装置
CN105914219B (zh) * 2009-02-27 2018-11-13 D-波系统公司 用于制造超导集成电路的系统及方法
US8301214B1 (en) 2010-01-08 2012-10-30 Hypres, Inc. System and method for providing multi-conductive layer metallic interconnects for superconducting integrated circuits
CA2814865C (en) 2010-11-11 2019-02-19 D-Wave Systems Inc. Systems and methods for superconducting flux qubit readout
US9761666B2 (en) 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8987181B2 (en) 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
US9355362B2 (en) 2011-11-11 2016-05-31 Northrop Grumman Systems Corporation Quantum bits and method of forming the same
JP5771137B2 (ja) 2011-12-21 2015-08-26 公益財団法人国際超電導産業技術研究センター 高温超電導磁気センサ
JP6326379B2 (ja) 2012-03-08 2018-05-16 ディー−ウェイブ システムズ,インコーポレイテッド 超伝導集積回路の製作のためのシステムおよび方法
US8644898B1 (en) 2012-05-01 2014-02-04 The United States Of America As Represented By The Secretary Of The Navy Superconductor device having a pattern of engineered defects for controlling magnetic flux
US8742594B2 (en) 2012-09-14 2014-06-03 International Business Machines Corporation Structure and method of making an offset-trench crackstop that forms an air gap adjacent to a passivated metal crackstop
US9329227B2 (en) 2012-10-24 2016-05-03 Nvidia Corporation Method and apparatus for testing interconnection reliability of a ball grid array on a testing printed circuit board
US9495644B2 (en) 2013-07-24 2016-11-15 D-Wave Systems Inc. Systems and methods for improving the performance of a quantum processor by reducing errors
US20160170675A1 (en) 2013-07-30 2016-06-16 SMART Storage Systems, Inc. Superconducting Fiber and Efficient Cryogenic Cooling
US9183508B2 (en) 2013-08-07 2015-11-10 D-Wave Systems Inc. Systems and devices for quantum processor architectures
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US9634224B2 (en) 2014-02-14 2017-04-25 D-Wave Systems Inc. Systems and methods for fabrication of superconducting circuits
US9520180B1 (en) 2014-03-11 2016-12-13 Hypres, Inc. System and method for cryogenic hybrid technology computing and memory
JP6059754B2 (ja) 2014-03-20 2017-01-11 富士フイルム株式会社 組成物、硬化性組成物、透明膜、固体撮像素子および表示装置
EP3195377B1 (en) 2014-08-13 2021-12-15 D-Wave Systems Inc. Method of forming superconducting wiring layers with low magnetic noise
US9685935B2 (en) 2014-09-12 2017-06-20 Northrop Grumman Systems Corporation Tunable transmon circuit assembly
US9971970B1 (en) 2015-04-27 2018-05-15 Rigetti & Co, Inc. Microwave integrated quantum circuits with VIAS and methods for making the same
US10381542B2 (en) 2015-04-30 2019-08-13 International Business Machines Corporation Trilayer Josephson junction structure with small air bridge and no interlevel dielectric for superconducting qubits
CN107580752B (zh) 2015-05-14 2023-03-24 D-波系统公司 用于超导器件的频率复用谐振器输入和/或输出
JP6945553B2 (ja) 2016-05-03 2021-10-06 ディー−ウェイブ システムズ インコーポレイテッド 超伝導回路及びスケーラブルな計算において使用される超伝導デバイスのためのシステム及び方法
US20190288176A1 (en) 2016-06-13 2019-09-19 Intel Corporation Suspended josephson junctions
US10312141B2 (en) 2016-08-16 2019-06-04 Northrop Grumman Systems Corporation Preclean methodology for superconductor interconnect fabrication
US20190164959A1 (en) 2016-09-29 2019-05-30 Intel Corporation On-chip control logic for qubits
US10528886B2 (en) 2016-10-06 2020-01-07 D-Wave Systems Inc. Quantum flux parametron based structures (e.g., muxes, demuxes, shift registers), addressing lines and related methods
WO2018125543A1 (en) 2016-12-29 2018-07-05 Google Llc Selective capping to reduce quantum bit dephasing
WO2018144601A1 (en) 2017-02-01 2018-08-09 D-Wave Systems Inc. Systems and methods for fabrication of superconducting integrated circuits
KR102217205B1 (ko) 2017-03-13 2021-02-18 구글 엘엘씨 적층된 양자 컴퓨팅 디바이스 내의 집적 회로 소자
US10141493B2 (en) 2017-04-11 2018-11-27 Microsoft Technology Licensing, Llc Thermal management for superconducting interconnects
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US11295225B2 (en) 2017-07-07 2022-04-05 D-Wave Systems Inc. Superconducting quantum processor and method of operating same
CA3074722C (en) 2017-09-13 2023-09-05 Google Llc Hybrid kinetic inductance devices for superconducting quantum computing
US10847705B2 (en) 2018-02-15 2020-11-24 Intel Corporation Reducing crosstalk from flux bias lines in qubit devices
US10243132B1 (en) 2018-03-23 2019-03-26 International Business Machines Corporation Vertical josephson junction superconducting device
US20200266234A1 (en) 2018-04-20 2020-08-20 D-Wave Systems Inc. Systems and methods for fabrication of superconducting devices
US11105866B2 (en) 2018-06-05 2021-08-31 D-Wave Systems Inc. Dynamical isolation of a cryogenic processor
US20200152851A1 (en) 2018-11-13 2020-05-14 D-Wave Systems Inc. Systems and methods for fabricating superconducting integrated circuits
WO2020168097A1 (en) 2019-02-15 2020-08-20 D-Wave Systems Inc. Kinetic inductance for couplers and compact qubits
IL286613B1 (en) 2019-04-19 2024-02-01 Ibm Structures and manufacturing methods for qubit frequency tuning for chip devices of quantum computing
CN115004393A (zh) 2019-12-05 2022-09-02 D-波系统公司 用于制造超导集成电路的系统和方法
WO2021231224A1 (en) 2020-05-11 2021-11-18 D-Wave Systems Inc. Kinetic inductance devices, methods for fabricating kinetic inductance devices, and articles employing the same
US20210375516A1 (en) 2020-05-29 2021-12-02 D-Wave Systems Inc. Superconducting tunable inductance
WO2021262741A1 (en) 2020-06-23 2021-12-30 D-Wave Systems Inc. Methods for fabricating superconducting integrated circuits
WO2022178130A1 (en) 2021-02-19 2022-08-25 D-Wave Systems Inc. Systems and methods for fabrication of superconducting integrated circuits with improved coherence

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3263884B2 (ja) 1994-08-10 2002-03-11 スガツネ工業株式会社 天井パネルの施工法とその施工用金具
JP5243628B2 (ja) 2012-01-16 2013-07-24 リツコ 黒田 卓球用集球具

Also Published As

Publication number Publication date
US10700256B2 (en) 2020-06-30
WO2013180780A3 (en) 2014-03-20
US11930721B2 (en) 2024-03-12
JP7212002B2 (ja) 2023-01-24
US20150119252A1 (en) 2015-04-30
US20180033944A1 (en) 2018-02-01
JP2018129535A (ja) 2018-08-16
US9768371B2 (en) 2017-09-19
WO2013180780A2 (en) 2013-12-05
JP2020127032A (ja) 2020-08-20
JP2015511067A (ja) 2015-04-13
JP2023052344A (ja) 2023-04-11
US20200274050A1 (en) 2020-08-27
JP6326379B2 (ja) 2018-05-16

Similar Documents

Publication Publication Date Title
JP7478268B2 (ja) 超伝導集積回路の製作のためのシステムおよび方法
US10991755B2 (en) Systems and methods for fabrication of superconducting integrated circuits
CN110462857B (zh) 用于制造超导集成电路的系统和方法
US8828742B2 (en) Method of manufacturing magnetoresistive effect element that includes forming insulative sidewall metal oxide layer by sputtering particles of metal material from patterned metal layer
AU2017358595B2 (en) Josephson junction superconductor device interconnect
US20040266209A1 (en) Manufacturing method for electronic device and multiple layer circuits thereof
CN111969100B (zh) 基于TaN的约瑟夫森结及其制备方法
Satoh et al. Fabrication of superconducting qubits with Al trilayer Josephson junctions
CN113053941A (zh) 半导体结构及其形成方法
JPH0513394B2 (ja)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230112

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240321

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240419

R150 Certificate of patent or registration of utility model

Ref document number: 7478268

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150