JP6427218B2 - 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法 - Google Patents

微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法 Download PDF

Info

Publication number
JP6427218B2
JP6427218B2 JP2017079214A JP2017079214A JP6427218B2 JP 6427218 B2 JP6427218 B2 JP 6427218B2 JP 2017079214 A JP2017079214 A JP 2017079214A JP 2017079214 A JP2017079214 A JP 2017079214A JP 6427218 B2 JP6427218 B2 JP 6427218B2
Authority
JP
Japan
Prior art keywords
sio
substrate
gas
silanol
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017079214A
Other languages
English (en)
Other versions
JP2017195371A (ja
Inventor
エヌ.タピリー カンダバラ
エヌ.タピリー カンダバラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2017195371A publication Critical patent/JP2017195371A/ja
Application granted granted Critical
Publication of JP6427218B2 publication Critical patent/JP6427218B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Description

関連出願との相互参照
本出願は、2016年4月12日に出願された米国仮特許出願第62/321,662号に関連し、これに基づく優先権を主張しており、その全内容は参照により本明細書に組み込まれる。本出願は、2016年5月18日に出願された米国仮特許出願第62/338,189号に関連し、これに基づく優先権を主張しており、その全内容は参照により本明細書に組み込まれる。本出願は、2016年11月22日に出願された米国仮特許出願第62/425,563号に関連し、これに基づく優先権を主張しており、その全内容は参照により本明細書に組み込まれる。
発明の分野
本発明は、基板を処理する方法に関し、より具体的には、基板上の微細な凹状フィーチャ、例えば、浅いトレンチ分離(STI)構造を形成する微細な凹状フィーチャのシリコンダイオキサイド(SiO)充填方法及び異なる材料上にSiO膜を選択的に堆積させる方法に関する。
発明の背景
SiOは、シリコンマイクロ電子デバイスにおける最も一般的な誘電材料である。しかし、その重要性にもかかわらず、微細な凹状フィーチャのSiO材料による低温でのボイドレス(void-less)かつシームフリー(seamfree)充填が困難であることが判明している。さらに、低い基板温度で異なる材料上にSiO膜を選択的に堆積させるための新しい方法が必要とされている。
微細凹状フィーチャのボイドフリーなSiO充填及び触媒表面上の選択的SiO堆積の方法について記載されている。本発明者らは、SiO材料によって微細な凹状フィーチャをボイドレスかつシームフリーに充填する処理方法を見出した。SiO材料は、プラズマの存在しない状態で低温で堆積され、従来の高温SiO材料と同様の多くの材料特性を有する。従って、STI構造を形成するのに適している。さらに、異なる材料上にSiO膜を選択的に堆積させるための処理方法が記載されている。
一実施形態によれば、本方法は、凹状フィーチャを含む基板を提供するステップと、凹状フィーチャの表面を金属含有触媒層でコーティングするステップと、酸化剤及び加水分解剤も存在しない状態で、凹状フィーチャ内にコンフォーマルなSiO膜を堆積させるために、基板を約150℃以下の基板温度でシラノールガスを含むプロセスガスに曝露するステップと、凹状フィーチャがボイドフリーかつシームレスなSiO材料で満たされるまで、コンフォーマルなSiO膜の厚さを増加させるために、コーティングするステップ及び曝露するステップを少なくとも1回繰り返すステップと、を含む。一実施例では、SiO材料で充填された凹状フィーチャは、半導体デバイス内でSTI構造を形成する。
別の実施形態によれば、方法は、第1表面を含む第1材料及び第2表面を含む第2材料を含む基板を提供するステップであって、第2表面が金属含有触媒層を含むステップと、酸化剤及び加水分解剤も存在しない状態で、第2表面にSiO膜を堆積させるために、基板を約150℃以下の基板温度でシラノールガスを含むプロセスガスに曝露するステップと、を含む。
本明細書に組み込まれてその一部を構成する添付の図面は、本発明の実施形態を示しており、上記に与えられた発明の概略的な説明及び以下の詳細な説明と共に、本発明を説明する役割を果たす。
本発明の一実施形態による基板を処理するためのプロセスフローチャートである。 図2A〜図2Cは、本発明の一実施形態による基板を処理する方法の断面を概略的に示す図である。 本発明の一実施形態に従って処理され得る別の基板の断面図を概略的に示す図である。 本発明の実施形態による、7〜10間のアスペクト比を有する凹状フィーチャのSiO充填の断面図である。 本発明の実施形態による、7〜10間のアスペクト比を有する凹状フィーチャのSiO充填の断面図である。 本発明の実施形態による、7〜10間のアスペクト比を有する凹状フィーチャのSiO充填の断面図である。 本発明の1つ以上の実施形態で使用するための原子層堆積(ALD)システムの概略図である。 本発明の一実施形態によるSTI構造を形成することができる凹状フィーチャのSiO充填の断面を示す図である。 本発明の一実施形態によるTPSOLパルス長さの関数としてSiO膜厚及びウェハ内不均一性(WiWNU)を示す図である。 633nmでのSiO膜の屈折率対サーマルバジェットを示す図である。 希HF(DHF)(1:100)中のSiO2膜のエッチ量をエッチング時間の関数として示す図である。 DHF中の異なるSiO膜のエッチング速度を示す図である。 サーマルバジェットの関数としてのSiO厚さ収縮率及び厚さ変化率を示す図である。 アニール温度の関数としてのDHF中の異なるSiO膜のエッチング速度を示す図である。 SiO膜の物理的厚さに対する等価酸化物厚さ(EOT)を示す図である。 図16A及び図16Bは、本発明の一実施形態による基板の処理方法を断面で模式的に示す図である。
複数の実施形態の詳細な説明
図1は、本発明の一実施形態による基板を処理するためのプロセスフローチャートであり、図2A〜図2Cは、本発明の一実施形態による基板の処理方法を断面図で概略的に示す。
ここで、図1及び図2Aを参照すると、プロセスフロー10は、12において、凹状フィーチャ230及び240を含む基板200を提供するステップ12を含む。基板200は、第1層210及び第1層上の第2層220を含む。第2層220は、第2層220を貫通して延在する凹状フィーチャ230及び240を有する。凹状フィーチャ230は、側壁部236及び底部231を有する。凹型フィーチャ240は、側壁部246及び底部241を有する。凹型フィーチャ230,240は、周知のリソグラフィプロセス及びエッチングプロセスを用いて形成される。図2Aに示されているように、凹形状230,240は、異なる幅を有することができる。凹状フィーチャ230,240の一方又は両方は、例えば、200nm未満、100nm未満、50nm未満、25nm未満、20nm未満、又は10nm未満の幅232,242を有することができる。他の実施例では、凹状フィーチャ230,240の一方又は両方は、5nmと10nmの間、10nmと20nmとの間、20nmと50nmとの間、50nmと100nmとの間、100nmと200nmとの間、10nmと50nmとの間、又は、10nmと100nmとの間である幅232,242を有する。凹状フィーチャ230,240の一方又は両方は、例えば、25nm、50nm、100nm、200nm、又は200nmを超える深さ234,244を有することができる。一実施例では、1つ以上の凹状フィーチャ230,240は、約10nmと約50nmとの間の幅と、約100nmと約300nmとの間の深さとを有することができる。
一実施形態によれば、第1層210及び第2層220は同じ材料を含むことができる。したがって、底部31,241及び側壁部236,246は、同じ材料を含むことができる。別の実施形態によれば、第1層210及び第2層220は、異なる材料を含むことができる。例えば、底部231,241及び側壁部236,246は、シリコン、ゲルマニウム、シリコンゲルマニウム、誘電体材料、金属、及び金属含有材料からなる群から選択することができる。誘電体材料は、SiO、SiON、SiN、高比誘電率材料、低比誘電率材料、及び超低比誘電率材料からなる群から選択されてもよい。一実施例では、高比誘電率材料は、HfO、ZrO、TiO及びAlからなる群から選択されてもよい。例えば、金属及び金属含有材料は、Cu、Al、Ta、Ru、TaN、TaC及びTaCNからなる群から選択することができる。
本発明の一実施形態によれば、図1及び図2Bを参照すると、この方法は、14において、凹状フィーチャ230,240の表面を含む基板200の表面を金属含有触媒層247でコーティングするステップをさらに含む。
基板200の表面をコンフォーマルにコーティングする技術は、単層デポジション(「MLD」)方法を含むことができる。MLD法は、例えば、化学吸着によって反応性前駆体分子の飽和単分子層を形成する原理に基づくALD法を含むことができる。例えば、AB膜を形成するための典型的なMLDプロセスは、Aの飽和単分子層が基板上に形成される期間、第1前駆体又は反応物A(RA)を注入することからなる。次に、不活性ガスGiを用いてチャンバからRAをパージする。次に、第2前駆体又は反応物B(「RB」)をチャンバ内に一定時間注入して、BをAと結合させて基板上に層ABを形成する。次にRBがチャンバからパージされる。前駆体又は反応物を導入し、リアクタをパージし、別の又は同じ前駆体又は反応物を導入し、リアクタをパージするこのプロセスは、所望の厚さのAB膜を達成するために何度も繰り返すことができる。各ALDサイクルで堆積されるAB膜の厚さは、約0.5オングストローム〜約2.5オングストロームの範囲であり得る。
いくつかの実施形態では、AB膜を形成する際のMLDプロセスは、第1ステップ中に基板に吸着されるABCを含む前駆体を注入することと、次いで第2ステップ中にCを除去することを含むことができる。本発明のいくつかの実施形態によれば、金属含有触媒層247は金属含有層を含むことができる。金属含有層の実施例には、アルミニウム(Al)、チタン(Ti)、又はアルミニウムとチタンの両方を含む層が含まれる。一実施形態によれば、金属含有層は、Al、Al、AlN、AlON、Al含有前駆体、Al合金、CuAl、TiAlN、TaAlN、Ti、TiAlC、TiO、TiON、TiN、Ti含有前駆体、Ti合金、及びそれらの組み合わせを含む。
本発明の実施形態は、多様なAl含有前駆体を利用することができる。例えば、多くのアルミニウム前駆体は、
式:AlLを有し、
ここでL、L、Lは個々のアニオン性配位子であり、Dは中性ドナー配位子であり、xは0,1又は2であることができる。各L、L、L配位子は、アルコキシド、ハロゲン化物、アリールオキシド、アミド、シクロペンタジエニル、アルキル、シリル、アミジナート、β−ジケトナート、ケトイミネート、シラノエート、及びカルボキシレートからなる群から個々に選択される。D配位子は、エーテル、フラン、ピリジン、ピロール、ピロリジン、アミン、クラウンエーテル、グライム及びニトリルの群から選択することができる。
アルミニウム前駆体の別の実施例は、AlMe、AlEt、AlMeH、[Al(OBu)、Al(CHCOCHCOCH、AlCl、AlBr、AlAl(OiPr)、[Al(NMe、Al(Bu)Cl、Al(Bu)、Al(iBu)H、AlEtCl、EtAl(OBu)、Al(THD)を含む。
本発明の実施形態は、多様なTi含有前駆体を利用することができる。例えば、Ti含有前駆体は、Ti(NEt(TDEAT)、Ti(NMeEt)(TEMAT)、Ti(NMe(TDMAT)を含むTi−N前駆体を含む。他の例は、「Ti−C」分子内結合を含有するTi含有前駆体を含み、「Ti−C」分子内結合には、Ti(COCH)(η5−CCl、Ti(η5−C)Cl、Ti(η5−C)Cl、Ti(η5−CCl、Ti(η5−C(CH)Cl、Ti(CH)(η5−CCl、Ti(η5−CCl、Ti((η5−C(CHCl、Ti((η5−C(CHCl、Ti(η5−C(μ−Cl)、Ti(η5−C(CO)、Ti(CH(η5−C)、Ti(CH(η−C、Ti(CH、Ti(η5−C)(η7−C)、Ti(η5−C)(η8−C)、Ti(C(η5−C、Ti((C(η−H)、Ti(η5−C(CH、Ti(η5−C(CH(H)及びTi(CH(η5−C(CHが含まれる。TiCL4は、「Tiハロゲン」結合を含むハロゲン化チタン前駆体の一実施例である。
一実施形態によれば、金属含有触媒層247は、ALDシステム70内のALD堆積プロセスによって堆積されてもよく、その一実施例が図7に示されている。図7の実施例は、基板200をその上に支持するように構成された基板ホルダ88を有するプロセスチャンバ86を含む。プロセスチャンバ86は、第1プロセス材料供給システム72、第2プロセス材料供給システム74、パージガス供給システム76、及び、1つ以上の補助ガス供給源78(酸素含有ガス、窒素含有ガス、又は所望の金属含有触媒層材料を堆積するために必要な他のものを含み得る)と結合された上部アセンブリ84(例えばシャワーヘッド)と、基板温度制御システム80とをさらに含む。
代替的に又は付加的に、コントローラ82は、1つ以上の追加コントローラ/コンピュータ(図示せず)に結合されてもよく、追加のコントローラ/コンピュータからセットアップ情報及び/又は構成情報を得ることができる。コントローラ82は、任意の数の処理要素72,74,76,78,80を構成するた
めに用いられることができ、それらからデータを収集し、提供し、処理し、格納し、及び/又は表示することができる。コントローラ82は、1つ以上の処理要素72,74,76,78,80を制御するための多数のアプリケーションを含むことができる。コントローラ82は、必要に応じて、ユーザが処理要素72,74,76,78,80のうちの1つ以上を監視及び/又は制御するための使い易いインタフェースを提供するグラフィカルユーザインタフェース(GUI、図示せず)を含むことができる。
プロセスチャンバ86は、ダクト90を介して、真空ポンプシステム92及びバルブ94を含む圧力制御システム96にさらに結合され、圧力制御システム96は、プロセスチャンバ86を、金属含有触媒層247を形成するのに適した圧力であり、第1及び第2プロセス材料の使用に適している圧力に、制御可能に排気できるように構成されている。圧力制御システム96は、約5000リットル/秒(及びそれ以上)までの排気速度が可能なターボ分子真空ポンプ又は低温ポンプを含むことができ、バルブ94は、チャンバ圧力を絞るためのゲートバルブを含むことができる。さらに、チャンバプロセスを監視するための装置(図示せず)をプロセスチャンバ86に結合することができる。圧力制御システム96は、例えば、プロセスチャンバ圧力を、ALDプロセスの間、約0.1トール〜約100トールの間で制御するように構成することができる。
第1及び第2材料供給システム72,74、パージガス供給システム76、及び1つ以上の補助ガス供給システム78のそれぞれは、1つ以上の圧力制御デバイス、1つ以上の流量制御デバイス、1つ以上のバルブ、及び/又は、1つ以上の流量センサを含むことができる。流量制御デバイスは、空気圧駆動弁、電気機械(ソレノイド)弁、及び/又は高速パルスガス噴射弁を含むことができる。本発明の実施形態によれば、ガスは、連続的に交互にプロセスチャンバ86にパルスされてもよく、各ガスパルスの長さは、例えば、約0.1秒と約100秒の間であってもよい。あるいは、各ガスパルスの長さは、約1秒と約10秒の間であってもよい。酸素含有ガス及び窒素含有ガスの例示的なガスパルス長は、約0.3秒と約3秒の間であってもよく、例えば約1秒であってもよい。例示的なパージガスパルスは、約1秒と約20秒の間であってよく、例えば約3秒であってもよい。例示的なパルスガス注入システムは、米国特許出願公開第2004/0123803号に詳細に記載されている。
図7をさらに参照すると、コントローラ82は、ALDシステム70への入力を通信し、ALDシステム70からの出力を監視するのに十分な制御電圧を生成することができるマイクロプロセッサ、メモリ、及びデジタルI/Oポートを含むことができる。さらに、コントローラ82は、プロセスチャンバ86、基板ホルダ88、上部アセンブリ84、処理要素72,74,76,78、基板温度制御システム80、及び圧力制御システム96に接続されることができ、これらと情報を交換することができる。例えば、コントローラ82のメモリに格納されたプログラムは、堆積プロセスを実行するためにプロセスレシピに従ってALDシステム70の上記構成要素への入力をアクティブにするために利用されることができる。コントローラ82の一実施例は、デル社(テキサス州オースティン)から市販されている、DELL PRECISION WORKSTATION 610TMである。
しかしながら、コントローラ82は、1つ以上の命令のシーケンスを実行するプロセッサに応答して、マイクロプロセッサベースで本発明の処理ステップの一部又は全部を実行する汎用コンピュータシステムとして実装されてもよい。そのような命令は、ハードディスク又は取り外し可能な媒体ドライブのような別のコンピュータ可読媒体からコントローラメモリに読み込まれてもよい。マルチプロセッシング構成の1つ以上のプロセッサは、主メモリに含まれる一連の命令を実行するためのコントローラマイクロプロセッサとして使用されてもよい。別の実施形態では、ハードウェア回路を、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて用いることができる。したがって、実施形態は、ハードウェア回路及びソフトウェアの特定の組み合わせに限定されない。
コントローラ82は、本発明の教示に従ってプログラムされた命令を保持し、データ構造、テーブル、レコード、又は、本発明の実施のために必要であり得る他のデータを格納するための、コントローラメモリのような少なくとも1つのコンピュータ可読媒体又はメモリを含む。コンピュータ可読媒体の実施例は、ハードディスク、フロッピーディスク、テープ、光磁気ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、又は任意の他の磁気媒体、コンパクトディスク(例えば、CD−ROM)又は任意の他の光学媒体、パンチカード、紙テープ、又は孔のパターンを有する他の物理媒体、搬送波(以下に説明する)、又はコンピュータが読み取ることができる任意の他の媒体である。
コントローラ82を制御するための、1つ又は複数のデバイスを駆動するための、本発明を実施するための、及び/又は、コントローラ82が人間のユーザと相互作用することを可能にするための、ソフトウェアがコンピュータ可読媒体のいずれか1つ又は組み合わせに格納されている。そのようなソフトウェアには、デバイスドライバ、オペレーティングシステム、開発ツール、及びアプリケーションソフトウェアが含まれるが、これらに限定されるものではない。そのようなコンピュータ可読媒体は、本発明の実施において実行される処理の全部又は一部(処理が分散されている場合)を実行するための本発明のコンピュータプログラム製品をさらに含む。
コンピュータコードデバイスは、任意の解釈可能又は実行可能コード機構であってもよく、スクリプト、解釈可能プログラム、ダイナミックリンクライブラリ(DLL)、Java(登録商標)クラス、及び、完全な実行可能プログラムを含むが、これに限定されない。さらに、本発明の処理の一部は、より良い性能、信頼性、及び/又はコストのために分散されてもよい。
本明細書で使用される「コンピュータ可読媒体」という用語は、コントローラ82のプロセッサへ実行するための命令を提供することに関与する任意の媒体を指す。したがって、コンピュータ可読媒体は、多くの形態を取ることができ、非揮発性媒体、揮発性媒体、及び伝送媒体を含むが、これに限定されない。不揮発性媒体は、例えば、光学ディスク、磁気ディスク、及び、ハードディスク又は取り外し可能媒体ドライブなどの光磁気ディスクを含む。揮発性媒体には、メインメモリなどのダイナミックメモリが含まれる。さらに、様々な形態のコンピュータ可読媒体は、コントローラ82のプロセッサへ実行するための、1つ以上の命令の1つ以上のシークエンスを実行することに関与しうる。例えば、命令は、最初にリモートコンピュータの磁気ディスク上に担持されてもよい。リモートコンピュータは、本発明の全部又は一部を実行する命令をリモートでダイナミックメモリにロードし、ネットワークを介してコントローラ82に命令を送ることができる。
コントローラ82は、ALDシステム70に対して局所的に配置されてもよいし、ALDシステム70に対して遠隔に配置されてもよい。例えば、コントローラ82は、直接接続、イントラネット、インターネット、及びワイヤレス接続のうちの少なくとも1つを用いてALDシステム70とデータを交換しうる。コントローラ82は、例えば、顧客サイト(すなわち、デバイスメーカーなど)のイントラネットに結合されてもよく、又は、例えばベンダーサイト(すなわち、機器製造業者)のイントラネットに結合されてもよい)。さらに、例えば、コントローラ82は、インターネットに接続されてもよい。さらに、別のコンピュータ(コントローラ、サーバなど)は、例えば、コントローラ82にアクセスして、直接接続、イントラネット、及びインターネットのうちの少なくとも1つを介してデータを交換することができる。また、当業者には理解されるように、コントローラ82は、無線接続を介してALDシステム70とデータを交換することができる。
基板200の表面を金属含有触媒層247でコンフォーマルにコーティングすることは、金属含有触媒層247の異なる成分(ここでは例えば金属及び酸素)を堆積させるために、連続した交互のパルスシーケンスによって行われうる。ALDプロセスは、典型的には、ガスパルス毎に成分の単分子層未満を堆積するので、膜の異なる成分の別々の堆積シーケンスを使用して均質材料を形成することが可能である。各ガスパルスは、未反応ガス又は副産物をプロセスチャンバ86から除去するためのそれぞれのパージ又は排気ステップを含むことができる。本発明の他の実施形態によれば、パージステップ又は排気ステップの1つ又は複数を省略することができる。
したがって、例示的な一実施形態として、基板200は、ALDシステム70のプロセスチャンバ86内に配置され、金属含有ガスパルス及び酸素含有ガスパルスに順次曝露され、酸素含有ガスは、O2、H2O、H2O2、オゾン、(PEALDシステムでの使用のための)プラズマ励起酸素、又はそれらの組み合わせを含み、及び場合によりアルゴン(Ar)などの不活性ガスを含むことができる。金属は、基板200の表面上で反応して、単層厚よりも小さい化学吸着層を形成することができる。次いで、酸素含有ガスのガスパルスからの酸素は、化学吸着された表面層と反応することができる。この一連のガス曝露を繰り返すことによって、すなわち2回の曝露を複数回交互に行うことによって、所望の厚さが達成されるまで、サイクル当たり約1オングストロームの層毎の成長を達成することが可能である。
一実施形態によれば、基板200の表面を金属含有触媒層247でコンフォーマルにコーティングすることは、基板の表面上に金属含有触媒層247を吸着させるために、基板200を金属含有ガスパルスに曝露することによって進行する。金属は、基板200の表面上で反応して、厚い単層よりも小さい化学吸着層を形成することができる。一実施例では、金属含有触媒層247は、金属含有前駆体、例えばAlMeを含むことができる。各ガスパルスは、プロセスチャンバ86から未反応ガス又は副産物を除去するためのそれぞれのパージ又は排気ステップを含むことができる。
この方法はさらに、16において、いかなる酸化剤及び加水分解剤も存在しない状態で、凹状フィーチャ230及び240内にコンフォーマルなSiO膜を堆積させるために、基板200を約150℃以下の基板温度でシラノールガスを含むプロセスガスに曝露するステップを含む。コンフォーマルSiO膜248の厚さは、シラノールガスの金属含有触媒層247上への自己制限的な吸着によって制御される。本発明の実施形態によれば、金属含有触媒層247は、凹状フィーチャ230及び240を含む基板200上へのコンフォーマルなSiO膜の堆積を触媒する。この触媒効果は、SiO膜が約3nmの厚さになるまで観察され、その後、SiO堆積は停止する。いくつかの実施例では、シラノールガスは、トリス(tert−ペントキシ)シラノール(TPSOL)、トリス(tert−ブトキシ)シラノール及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択され得る。
この方法はさらに、18において、凹状フィーチャ230及び240がボイドフリーでシームレスなSiO材料で充填されているかどうかを判断するステップを含む。イエスの場合、基板200をさらに処理してマイクロ電子デバイスを形成することができる。ノーの場合、凹状フィーチャがボイドフリーのシームレスなSiOで充填されるまで、ステップ14及び16を少なくとも1回繰り返すことができる。
本発明の実施形態によれば、基板200は、酸化剤及び加水分解剤が存在しない状態で、シラノールガスを含むプロセスガスに曝露される。本発明者らは、ボイドフリーのシームレスなSiO充填に酸化剤及び加水分解剤は必要でないことを見出した。いくつかの実施例では、プロセスガスはアルゴンのような不活性ガスをさらに含んでいてもよい。一実施形態では、プロセスガスは、シラノールガス及び不活性ガスからなることができる。さらに、一実施形態によれば、曝露するステップの間、基板温度は、約150℃以下であってもよい。別の実施形態では、基板温度は約120℃以下であってもよい。さらに別の実施形態では、基板温度は約100℃以下であってもよい。
本発明の一実施形態によれば、コーティングするステップ14及び曝露するステップ16は複数回行われ、最初に凹状フィーチャ230及び240の側壁部がAlでコーティングされた後、コーティングが繰り返されるたびに側壁部がAlMeでコーティングされる。
本発明の別の実施形態によれば、コーティングステップ14及び曝露ステップ16は複数回行われ、最初に側壁部にHfOがコーティングされ、HfO上にAlがコーティングされ、その後コーティングするステップが繰り返されるたびに表面はAlMeでコーティングされる。
図3は、本発明の実施形態に従って処理され得る別の基板の断面図を概略的に示す。図3の基板300は、図2Bの基板200と似ているが、基板300上にコンフォーマルなSiO膜を堆積する前に、金属含有触媒層247の水平部分を除去するために、異方性ドライエッチングステップが行われる。異方性ドライエッチングステップは、ドライプラズマエッチングステップを含むことができる。例えば、フルオロカーボン化学物質又はハロゲン含有化学物質を用いて、エッチングを行うことができる。さらに、例えば、Cベースのプロセス化学物質、Cベースのプロセス化学物質又はその両方を使用することができる。さらに、残りの犠牲膜材料をエッチングするために、例えば、CH及びCHFを用いることができる。さらに、金属含有触媒層247の水平部分をエッチングするために、SFベースの化学物質を用いることができる。
図1及び図3を参照すると、18において、凹状フィーチャ230及び240がボイドフリーなシームレスのSiO材料で充填されていない場合、ステップ14及び16は、凹状フィーチャ230,240がボイドフリーなシームレスのSiO材料で充填されるまで少なくとも1回繰り返されてもよい。
トリス(tert−ペントキシ)シラノール(TPSOL)を用いてSiO堆積実験を行った。例示的な堆積条件は、150℃の基板温度、60秒のシラノールパルス持続時間、30秒のパージガスパルス持続時間、約1Torrのプロセスチャンバ圧力を含んでいた。得られたSiO膜は、熱酸化物、石英ガラス及びTEOS CVDに近い屈折率を有する良好な品質であった。Al層上及び吸着したAlMe前駆体を有する基板上で、選択的なSiO堆積が観察された。吸着されたAlMe前駆体は、基材上でAlMeとして存在してもよいことが考えられ、x<3である。これは、シラノール前駆体を用いたSiO堆積に対するするアルミニウムの触媒作用を実証する。この触媒作用は、SiO膜が約3nmの厚さになるまで観察され、その後、SiO堆積は停止した。シラノール曝露を用いた付加的な約3nmのSiO2堆積は、まず薄いAl層を堆積させるか又は堆積したSiO膜上にAlMe前駆体を吸収させることによって達成することができる。これらの交互のステップは、厚いSiO膜を堆積させ、凹状フィーチャをボイドフリーでシームレスなSiOで満たすために必要に応じて繰り返すことができる。
図4〜6は、本発明の実施形態による約7〜約10のアスペクト比を有する凹状フィーチャの完全なSiO充填の断面図を示す。SiO充填は、上記のように、AlMeとトリス(tert−ペントキシ)シラノールの交互曝露を用いて行われた。アスペクト比10ほどの凹状フィーチャのボイドフリーでシームレスなSiO2充填が観察された。
図4は、約7のAR(幅約26.8nm、深さ約199.4nm)を有する凹状フィーチャの完全なSiO充填を示す。
図5は、約8のAR(約22.8nmの幅及び約194.4nmの深さ)を有する凹状フィーチャの完全なSiO充填を示す。
図6は、約10のAR(約19.8nmの幅及び約195.5nmの深さ)を有する凹状フィーチャの完全なSiO充填を示す。
本発明の一実施形態によれば、凹状フィーチャを充填するためにシラノールガス(例えば、TPSOL)を用いて堆積されたSiO2膜は、STI用途に使用することができる。STIsは、隣接する半導体デバイス構成要素間の電流リークを防止する集積回路フィーチャである。STIsは、トランジスタが形成される前の半導体デバイス製造プロセスの初期に生成される。STIsを形成する重要なステップは、シリコン内にトレンチパターンをエッチングするステップと、トレンチを充填するための1つ以上の誘電材料(例えばSiO)を堆積するステップと、化学機械平坦化(CMP)のような技術を用いて余剰の誘電体を除去するステップと、を含む。
高度な集積回路用のSTIに使用されるSiO膜のための材料及び処理要件には、良好な充填特性(すなわち、ボイドのない完全な充填)、サーマルバジェットの抑制による低温堆積及びアニーリング、及び従来の熱酸化物に類似のエッチング特性が含まれる。これらの要求に取り組むためにいくつかの方法が開発されている。一例では、FinFET構造のSTIのための十分な充填を達成するために、流動可能CVD(FCVD)プロセスが開発されている。しかし、FCVDは、高密度化して良好なエッチング特性を有する良質の酸化物を形成するために、蒸気中で約1000℃の温度で硬化させることと、Nアニールを必要とする。しかしながら、このような高温は、多くの製造プロセスにとって許容できない。あるいは、UV硬化と約500℃の温度で1〜2時間の水蒸気アニールとの組み合わせを用いて、FCVDSiOを改善することができる。
デバイスのフィーチャサイズが縮小されるにつれて、Ge及びSiGeのような新しいチャネル材料が高度集積回路に導入されている。例えば、SiGeのような高移動度チャネル材料は、14nmを超える寸法のFinFETデバイスの性能目標を達成するために重要である。FinFETにおけるSiGeの使用は、Geの外拡散を回避するためにサーマルバジェット(すなわち、アニール温度及び時間)を制限する。これは、その後の湿式処理ステップに適合するロバストなSTI材料を達成するための処理オプションを制限する。
図8は、本発明の一実施形態によるSTI構造を形成することができる凹状フィーチャのSiO充填の断面図を示す。SiOは、AlMeとTPSOLの交互曝露を用いて150℃で堆積された。この図は、視認可能なボイドやシームのない優れたSiO2充填を示す。SiOの充填は、異なるアスペクト比を有する凹状フィーチャに対して行われた。
図9は、本発明の一実施形態による、SiO膜厚及びウェハ内不均一性(WiWNU)をTPSOLパルス長の関数として示す。TPSOLパルス持続時間変化させて、AlMeとTPSOLの順次曝露を使用して、150℃の基板温度で15Åの厚さの化学酸化物層上にSiO膜を堆積させた。この図は、AlMe曝露後の約60秒のTPSOLパルス持続時間に対して、SiO厚さが自己制限的であったことを示している。図はさらに、(黒丸で)AlMe3露出を省略した場合には、60秒及び120秒のTPSOLパルス持続時間の後に化学酸化物層上にSiO堆積が観察されなかったことを示している。
図10は、本発明の一実施形態による、SiO膜の633nmでの屈折率をサーマルバジェットに対して示す。SiO膜は150℃で堆積され、その後示されている温度でアニールされた。結果は、すべてのSiO膜について屈折率が1.4〜1.5であったことを示している。これは、熱酸化膜についての約1.457の屈折率によく匹敵する。
図11は、DHF(1:100)中のSiO膜のエッチング量をエッチング時間の関数として示す。SiO膜は150nmの厚さを有し、化学酸化物層上にAlMe3とTPSOLの交互曝露を用いて150℃で堆積された。図は94Å/分の線形エッチング速度を示す。
図12は、DHF中の異なるSiO膜のエッチングレート又はエッチング速度を示す。使用されたエッチング溶液は、DHF(1:100)、DHF(1:335)、及びDHF(1:1000)であった。 SiO膜は、1)熱酸化物、 2)480℃で高密度プラズマ(HDP)を用いて堆積されたSiO、3)430℃でTEOSを用いたプラズマプロセスを用いて堆積されたSiO、4)400℃でTEOSを用いたプラズマプロセスを用いて堆積されたSiO、5)480℃で形成されたドープされていないシリカガラス(USG)、6)FCVDを用いて堆積され、1050℃で2時間アニールされたSiO2、7)540℃でアニールしたHARPTM酸化膜、8)430℃でアニールしたHARPTM酸化膜、9)ALDを使用した室温でシリコン前駆体とプラズマOとの交互曝露を用いて堆積したSiO、10)150℃でAlMeとTPSOLの交互曝露を用いて堆積したSiO2、を含む。次世代の半導体デバイスのためには、SiOは低基板温度で堆積され、熱酸化膜と同様のエッチング速度を有することが好ましい。図12の結果は、150℃でAlMeとTPSOLとを用いて堆積されたSiOが、他のSiO膜よりもこれらの2つの要件を良好に満たすことを示している。
図13は、サーマルバジェットの関数としてのSiO厚さ収縮量及び厚さ変化率を示す。SiO膜は化学酸化膜上で150nmの厚さを有し、AlMeとTPSOLとの交互曝露を用いて150℃で堆積された。この図は、N中で500℃の堆積後アニールを行った後の膜厚が3%未満変化したことを示す。これは、堆積後の蒸気アニール後のFCVD膜の20〜50%の収縮と比較することができる。
図14は、アニール温度の関数としてのDHF中のSiO膜のエッチング速度を示す。SiO膜は、AlMeとTPSOLとの交互露光を用いて熱酸化膜上に150℃で堆積された。堆積したままのSiO膜のいくつかを、DHF中でエッチングする前にN中でさらに5分間アニールした。1)アニールなし、2)250℃でアニール、3)500℃でアニール、4)700℃でアニール、5)800℃でアニール。この図は、6)熱酸化物のエッチング速度にさらに匹敵するように、アニーリングがSiO膜を改良することを示している。
図15は、SiO膜の物理的厚さに対する等価酸化物厚さ(EOT)を示す。 SiO膜は、AlMe3とTPSOLとの交互曝露を用いて150℃で堆積され、その後400℃で処理された。この図のデータから誘電率(k)4.06を算出した。この値は、熱酸化物層のk値3.9と非常によく一致する。
図16A及び図16Bは、本発明の一実施形態による基板の処理方法を断面図で模式的に示す。基板1600は、第1表面1611を有する第1材料1610と、第2表面1621を有する第2材料1620とを含み、第1材料は第2材料1620とは異なる。図16Aの非限定的な実施例では、第2材料は第1材料内に嵌め込まれている。いくつかの実施例では、第1材料1610は、シリコン、ゲルマニウム、シリコンゲルマニウム、誘電体材料、金属、及び金属含有材料からなる群から選択されてもよい。誘電体材料は、SiO、SiON、SiN、高比誘電率材料、低比誘電率材料及び超比誘電率材料からなる群から選択されてもよい。一実施例では、高比誘電率材料は、HfO及びZrOから選択することができる。例えば、第2材料1620は、Al、Al2O3、AlN、AlON、Al含有前駆体、Al合金、CuAl、TiAlN、TaAlN、Ti、TiAlC、TiO2、TiON、TiN、Ti含有前駆体、Ti−合金及びそれらの組み合わせからなる群から選択される金属含有触媒層を含むことができる。
この方法は、第1材料1610の第1表面1611上ではなく、第2材料1620の第2表面1621上に選択的にSiO膜1630を堆積させるために、シラノールガスを含むプロセスガスに基板1600を曝露することを含む。本発明の実施形態によれば、金属含有触媒層は、第2表面1621上へのSiO膜1630の選択的堆積を触媒する。この触媒効果は、SiO膜1630の厚さが、シラノールガスがもはや金属含有触媒層と相互作用することができない厚さに達するまで、観察される。その後、SiOの成膜が停止する。一実施例では、この厚さは約3nmの厚さでありうる。
本発明の実施形態によれば、基板1600は、酸化剤及び加水分解剤が存在しない状態で、シラノールガスを含むプロセスガスに曝露される。いくつかの例では、プロセスガスはアルゴンのような不活性ガスをさらに含んでいてもよい。一実施形態では、プロセスガスは、シラノールガス及び不活性ガスからなることができる。さらに、一実施形態によれば、基板温度は、曝露中、約150℃以下であってもよい。別の実施形態では、基板温度は約120℃以下であってもよい。さらに別の実施形態では、基板温度は約100℃以下であってもよい。いくつかの実施形態によれば、約0.1トール〜約100トールのプロセスチャンバ圧力をシラノールガス曝露中に使用することができる。いくつかのプロセスチャンバ圧力の例には、0.1Torr〜10Torr、0.5Torr〜10Torr、0.5Torr〜5Torr、約10Torr未満、約5Torr未満、及び約2Torr未満が含まれる。
図16A及び図16Bで説明される方法は、非成長面(第1面1611)ではなく、成長面(第2面1621)上への選択的SiO堆積を提供する。一実施例では、SiO膜は、さらなる基板処理中に第2表面1621を保護するキャッピング層として使用することができる。一実施例では、第2表面1621の保護がもはや必要でないときに、キャッピング層を基板1600から除去することができる。別の実施例では、キャッピング層は、マイクロエレクトロニクスデバイスの永久的な部分となり得る。
本発明は、その1つ又は複数の実施形態の説明によって例示されており、実施形態はかなり詳細に記載されているが、添付の特許請求の範囲をそのようなものに限定すること又はいかなる制限も意図するものではない。さらなる利点及び変更は、当業者には容易に明らかであろう。したがって、より広範な態様における本発明は、示され説明された特定の詳細、代表的な装置及び方法、及び例示的な例に限定されない。したがって、一般的な発明概念の範囲から逸脱することなく、そのような詳細から発展させることができる。

Claims (20)

  1. 基板処理方法であって、
    凹状フィーチャを含む基板を提供するステップと、
    前記凹状フィーチャの表面を金属含有触媒層でコーティングするステップと、
    いかなる酸化剤及び加水分解剤も存在しない状態で、前記凹状フィーチャ内にコンフォーマルなSiO膜を堆積させるために、前記基板を約150℃以下の基板温度でシラノールガスを含むプロセスガスに曝露するステップと、
    前記凹状フィーチャが、ボイドフリーかつシームレスなSiO材料で満たされるまで、前記コンフォーマルなSiO膜の厚さを増加させるために、前記コーティングするステップ及び前記曝露するステップを少なくとも1回繰り返すステップと、
    を含
    前記コーティングするステップと前記曝露するステップとは、複数回実行され、まず、前記凹状フィーチャの底部を除く前記凹状フィーチャの側壁部のみがAl でコーティングされ、その後コーティングするステップが繰り返されるたびに、前記凹状フィーチャの底部を除く前記側壁部のみがAlMe でコーティングされる、方法。
  2. 前記シラノールガスが、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項1に記載の方法。
  3. 前記金属含有触媒層は、アルミニウム、チタン又はそれらの組み合わせを含む、請求項1に記載の方法。
  4. 前記金属含有触媒層は、Al、Al、AlN、AlON、Al含有前駆体、Al合金、CuAl、TiAlN、TaAlN、Ti、TiAlC、TiO、TiON、TiN、Ti含有前駆体、Ti合金、及びこれらの組み合わせからなる群から選択される、請求項3に記載の方法。
  5. 前記コーティングするステップが、前記基板をAlMeガスに曝露するステップを含む、請求項1に記載の方法。
  6. 基板処理方法であって、
    凹状フィーチャを含む基板を提供するステップと、
    前記凹状フィーチャの表面を金属含有触媒層でコーティングするステップと、
    いかなる酸化剤及び加水分解剤も存在しない状態で、前記凹状フィーチャ内にコンフォーマルなSiO 膜を堆積させるために、前記基板を約150℃以下の基板温度でシラノールガスを含むプロセスガスに曝露するステップと、
    前記凹状フィーチャが、ボイドフリーかつシームレスなSiO 材料で満たされるまで、前記コンフォーマルなSiO 膜の厚さを増加させるために、前記コーティングするステップ及び前記曝露するステップを少なくとも1回繰り返すステップと、
    を含み、
    前記コーティングするステップと前記曝露するステップとは、複数回実行され、まず、前記凹状フィーチャの底部を除く前記凹状フィーチャの側壁部のみにHfOがコーティングされ、HfO上にAlがコーティングされ、その後コーティングするステップが繰り返されるたびに、前記凹状フィーチャの底部を除く前記側壁部のみがAlMeでコーティングされる、法。
  7. 前記曝露するステップの間、前記基板温度は約100℃以下である、請求項1又は6に記載の方法。
  8. 前記プロセスガスは、シラノールガス及び不活性ガスからなる、請求項1又は6に記載の方法。
  9. SiO材料で充填された前記凹状フィーチャが、半導体デバイス内で浅いトレンチ分離(STI)構造を形成する、請求項1又は6に記載の方法。
  10. 平坦化プロセスにおいて凹状フィーチャの上から過剰なSiOを除去するステップをさらに含む、請求項1又は6記載の方法。
  11. 前記除去するステップは、化学機械平坦化(CMP)を用いて行われる、請求項10に記載の方法。
  12. 前記凹状フィーチャの表面を金属含有触媒層でコーティングするステップは、
    前記金属含有触媒層を前記凹状フィーチャの前記底部から除去するステップを含む、
    請求項1又は6記載の方法。
  13. 基板処理方法であって、
    第1表面を含む第1材料及び第2表面を含む第2材料を含む基板を準備するステップであって、前記第2表面が金属含有触媒層を含ステップと、
    いかなる酸化剤及び加水分解剤も存在しない状態で、前記第1表面ではなく前記第2表面にSiO膜を堆積させるために、前記基板を約150℃以下の基板温度でシラノールガスを含むプロセスガスに曝露するステップと、
    を含む、方法。
  14. 前記シラノールガスが、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール、及びビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項13に記載の方法。
  15. 前記金属含有触媒層は、アルミニウム、チタン、又はそれらの組み合わせを含む、請求項13に記載の方法。
  16. 前記金属含有触媒層は、Al、Al、AlN、AlON、Al含有前駆体、Al合金、CuAl、TiAlN、TaAlN、Ti、TiAlC、TiO、TiON、TiN、Ti含有前駆体、Ti合金及びこれらの組み合わせからなる群から選択される、請求項13に記載の方法。
  17. 前記第1材料は、シリコン、ゲルマニウム、シリコンゲルマニウム、誘電体材料、金属及び金属含有材料からなる群から選択される、請求項13に記載の方法。
  18. 前記誘電体材料が、SiO、SiON、SiN、高比誘電率材料、低比誘電率材料及び超低比誘電率材料からなる群から選択される、請求項17に記載の方法。
  19. 前記曝露中に前記基板温度が約100℃以下である、請求項13に記載の方法。
  20. 前記プロセスガスは、シラノールガス及び不活性ガスからなる、請求項13に記載の方法。
JP2017079214A 2016-04-12 2017-04-12 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法 Active JP6427218B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662321662P 2016-04-12 2016-04-12
US62/321,662 2016-04-12
US201662338189P 2016-05-18 2016-05-18
US62/338,189 2016-05-18
US201662425563P 2016-11-22 2016-11-22
US62/425,563 2016-11-22

Publications (2)

Publication Number Publication Date
JP2017195371A JP2017195371A (ja) 2017-10-26
JP6427218B2 true JP6427218B2 (ja) 2018-11-21

Family

ID=59998280

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017079214A Active JP6427218B2 (ja) 2016-04-12 2017-04-12 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法

Country Status (3)

Country Link
US (1) US10049913B2 (ja)
JP (1) JP6427218B2 (ja)
KR (1) KR101917027B1 (ja)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2018109857A1 (ja) * 2016-12-14 2018-06-21 三菱電機株式会社 光半導体装置の製造方法
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10453749B2 (en) 2017-02-14 2019-10-22 Tokyo Electron Limited Method of forming a self-aligned contact using selective SiO2 deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102545880B1 (ko) * 2017-04-12 2023-06-20 도쿄엘렉트론가부시키가이샤 유전체 기판 상에서의 유전체 물질의 선택적인 수직 성장 방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10361366B2 (en) * 2017-08-03 2019-07-23 Tokyo Electron Limited Resistive random accress memory containing a conformal titanium aluminum carbide film and method of making
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) * 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10586734B2 (en) * 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US10910273B2 (en) 2019-02-25 2021-02-02 International Business Machines Corporation Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11756790B2 (en) 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023042264A1 (ja) * 2021-09-14 2023-03-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11265933A (ja) * 1998-03-17 1999-09-28 Matsushita Electron Corp 半導体装置の製造方法および半導体装置
WO2003083167A1 (en) * 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7294593B2 (en) 2002-11-21 2007-11-13 Kimberly-Clark Worldwide, Inc. Absorbent article material with elastomeric borders
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7700155B1 (en) 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
JP2008010739A (ja) * 2006-06-30 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
JP2010041038A (ja) * 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP2010010686A (ja) * 2008-06-27 2010-01-14 Asm America Inc 高成長率の二酸化ケイ素の堆積
JP2013098272A (ja) * 2011-10-31 2013-05-20 Elpida Memory Inc 半導体装置及びその製造方法
US20170092533A1 (en) 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor

Also Published As

Publication number Publication date
US20170294339A1 (en) 2017-10-12
KR101917027B1 (ko) 2018-11-08
US10049913B2 (en) 2018-08-14
JP2017195371A (ja) 2017-10-26
KR20170116982A (ko) 2017-10-20

Similar Documents

Publication Publication Date Title
JP6427218B2 (ja) 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法
JP7321747B2 (ja) シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD)
JP6545219B2 (ja) 自己整列スペーサ形成
US20220076946A1 (en) FORMATION OF SiOCN THIN FILMS
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
TWI493071B (zh) 金屬矽酸鹽膜的原子層沈積
TWI410513B (zh) 金屬矽化物膜之原子層沈積
JP5219900B2 (ja) 原子層堆積(ald)法及び化学気相成長(cvd)法を用いた高誘電率膜のその場ハイブリッド堆積
TW201824453A (zh) 形成自對準介層窗之方法
KR20240010760A (ko) 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US20040203254A1 (en) Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP7406684B2 (ja) 半導体デバイス内の凹状特徴部を低抵抗率金属で充填する方法
TW201702417A (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
TWI806881B (zh) 金屬閘極之低厚度相依功函數nMOS整合
TW201703146A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
TW201602385A (zh) TiSiN膜之成膜方法及成膜裝置
JP4790291B2 (ja) 基板処理方法、記録媒体および基板処理装置
US9330900B2 (en) Layer-by-layer deposition of carbon-doped oxide films through cyclical silylation
TW202334474A (zh) 選擇性熱沉積方法
JP2018182325A (ja) 逆行的なプロファイルを有する凹状フィーチャのボイドのない充填方法
JP2019096666A (ja) エッチング方法及びこれを用いた窪みパターンの埋め込み方法
JP7369895B2 (ja) 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
TW202129058A (zh) 使用原位蒸氣產生技術(issg)的金屬氧化物的熱原子層沉積
KR102553120B1 (ko) 레트로그레이드 리세스된 피처를 충전하는 방법
TW202407131A (zh) 鉬之選擇性沉積之方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181009

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181026

R150 Certificate of patent or registration of utility model

Ref document number: 6427218

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250