JP5548841B2 - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP5548841B2
JP5548841B2 JP2010543054A JP2010543054A JP5548841B2 JP 5548841 B2 JP5548841 B2 JP 5548841B2 JP 2010543054 A JP2010543054 A JP 2010543054A JP 2010543054 A JP2010543054 A JP 2010543054A JP 5548841 B2 JP5548841 B2 JP 5548841B2
Authority
JP
Japan
Prior art keywords
substrate
electrode
substrate holder
shielding member
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010543054A
Other languages
English (en)
Other versions
JP2011510498A (ja
Inventor
ハン,ヨンキ
ソ,ヨンス
キム,ヒョンウォン
ユン,チグク
イ,サンフン
Original Assignee
チャーム エンジニアリング シーオー エルティーディー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020080004871A external-priority patent/KR101318704B1/ko
Priority claimed from KR1020080004870A external-priority patent/KR101421644B1/ko
Priority claimed from KR1020080009463A external-priority patent/KR101312283B1/ko
Priority claimed from KR20080011600A external-priority patent/KR101507937B1/ko
Application filed by チャーム エンジニアリング シーオー エルティーディー filed Critical チャーム エンジニアリング シーオー エルティーディー
Publication of JP2011510498A publication Critical patent/JP2011510498A/ja
Application granted granted Critical
Publication of JP5548841B2 publication Critical patent/JP5548841B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は基板ホルダ、基板支持装置、基板処理処置、及びこれを利用する基板処理方法に関し、より詳しくは基板背面のエッチング均一度と工程効率を高めるための基板ホルダ、基板支持装置、基板処理処置、及びこれを利用する基板処理方法に関する。
一般に半導体装置及びフラットディスプレ装置は、基板の前面に複数の薄膜を蒸着してエッチングし、所定パターンの素子を形成して製作する。つまり、所定の蒸着装置を利用して基板の前面に薄膜を蒸着し、エッチング装置を利用して薄膜の一部をエッチングし、薄膜が所定のパターンを持つように製作する。
特に、薄膜蒸着工程とエッチング工程は、同じく基板の前面に行われるという特徴によって、基板の背面には薄膜蒸着工程の際に蒸着された薄膜とパーティクルなどの異物が除去されないで残るようになり、基板の背面に蒸着された異物は後続工程において、基板が曲がるか基板の整列が難しくなるなど、多くの問題がある。従って、このような薄膜及びパーティクルを除去するために、主に乾式洗浄を通して基板の背面に蒸着された薄膜とパーティクルを繰り返して除去した後、後続工程を行うことで半導体素子の収率を高めている。
従来、基板の背面を洗浄するための乾式洗浄工程は、密閉されたチャンバ内に遮蔽部材と下部電極が対向するように離隔して配置し、半導体ウェーハのような基板を遮蔽部材と下部電極の間に位置させる。次いで、基板を工程位置に配置させるために基板を上昇させ、遮蔽部材と下部電極の間のプラズマ間隙を調節するために下部電極を上昇させる。ここで遮蔽部材には下部電極と対向される上部電極が設けられ、基板に向けて噴射されるガスを分配するガス分配プレートが使われる。次いで、チャンバ内部を高真空状態にした後、化学反応に必要なガスをチャンバ内に投入する。このように投入されたガスは、遮蔽部材と下部電極の間に高周波電源が印加されることでプラズマ状態に変り、このようなプラズマによって基板背面の不要な異物を除去する。この時、チャンバ内に搬入された基板は、チャンバ内に設けられる基板支持装置によって支持され、遮蔽部材と下部電極の間の工程位置に配置されて工程が行われる。
しかし、従来の基板支持装置は、基板をチャンバ内に搬入させる搬送手段と互いに干渉しないように一側が開放されているので、基板を支持した状態で基板の背面に反応ガスを噴射する場合、基板を支持する基板支持装置の開放された一側を通して、反応ガスが漏れるか分離される現象が起きる。これは基板背面のエッチング均一度を低める問題がある。
また、従来の基板支持装置で基板が配置される基板ホルダを上昇させる駆動部は、下部電極を上昇させる駆動部とは別途に設けられるので装置が複雑になり、これによってチャンバ内の空間を活用し難い問題がある。そして、基板ホルダを駆動させる駆動部と下部電極を駆動させる駆動部をそれぞれ制御しなければならないので、工程の作業効率の落ちる問題がある。
また、基板ホルダを上昇させる駆動部は、基板をチャンバの底面から高い位置まで移動させるので、基板と下部電極が平行を維持するのが難しく、遮蔽部材と基板の間の間隔を一定に維持することができなくなり、下部電極が平行を維持することが難しくなる。即ち、基板端部でのエッチングレートが低下する問題がある。
一方、従来の基板ホルダは、基板処理工程で部分的に破損した場合、基板ホルダ全体を再加工又は入れ替なければならないので、基板処理処置の維持費用が高く、部品の製作期間が長いので、装置の再稼働時間が長くなる問題がある。
また、従来の基板ホルダは、プラズマを排出させるための排気孔を一定に製作しなければならないので、工程の適用範囲が限定される問題がある。
そして、リング状の基板ホルダを使わない場合には、基板と電極の間に発生するプラズマの排出が不均一、又は速くなるので、プラズマが留まる時間が一定にならないか、又はあまりにも短くなるので、基板の加工面が不均一になる問題がある。
前述のような問題を解決するために、本発明は基板が配置される基板ホルダを、構造が簡単で一部分の入れ替えができるように構成し、このような基板ホルダを含む基板支持装置で基板背面に発生されるプラズマが漏れることを防止し、残留時間を一定に維持して基板背面を効果的に洗浄することで工程効率を高め、遮蔽部材で噴射されるガスを基板に均一に分配して基板の端部のエッチング均一度を高める基板処理装置を提供する。
また、前述のような目的を果たすための本発明による基板処理処置は、チャンバと、前記チャンバ内の上部に設けられ基板上面にプラズマが発生することを防ぐための遮蔽部材と、前記遮蔽部材の下部に前記遮蔽部材の下部から下方に突出して形成されたハードストッパと、前記遮蔽部材と対向して前記チャンバ内の下部に設けられ電極と、前記遮蔽部材と前記電極の間に設けられ基板ホルダと、を含み、前記基板ホルダはリング状の配置部と、前記配置部の下部面に連結されて前記配置部の下部面を支持する側壁部と、前記側壁部に形成された排気孔と、前記配置部の内周縁又は前記配置部の上部面に形成された突出部と、を含み、前記突出部の上部又は内側に基板の端が配置され、前記基板ホルダを上昇させた状態で前記ハードストッパと対応して前記ハードストッパと接触するように前記配置部の上部に凹部が形成される。
また、前述のような目的を果たすための本発明による基板処理処置は、チャンバと、前記チャンバ内の上部に設けられ基板上面にプラズマが発生することを防ぐための遮蔽部材と、前記遮蔽部材の下部に前記遮蔽部材の下部から下方に突出して形成されたハードストッパと、前記遮蔽部材と対向して前記チャンバ内の下部に設けられ電極部と、前記遮蔽部材と前記電極部の間に設けられ基板の端を支持する基板ホルダと、前記電極部と前記基板ホルダを連結する緩衝部材と、前記電極部の下部に連結される昇降部材と、を含み、前記基板ホルダはリング状の配置部と、前記配置部の下部面に連結されて前記配置部の下部面を支持する側壁部と、前記側壁部に形成された排気孔と、前記配置部の内周縁又は前記配置部の上部面に形成された突出部と、を含み、前記突出部の上部又は内側に基板の端が配置され、前記基板ホルダを上昇させた状態で前記ハードストッパと対応して前記ハードストッパと接触するように前記配置部の上部に凹部が形成される。
本発明は基板の背面に均一なプラズマを形成させることで、基板背面のエッチング均一度を高めることができる効果がある。即ち、チャンバ内で基板が配置され、側壁部に多様な模様と大きさを持つ排気孔が形成された基板ホルダを通して基板背面に噴射される反応ガスが漏れるのを防止して、基板と電極の間に発生するプラズマの残留時間を一定に維持させ、反応ガスが滑らかに流れるようにして基板背面に均一に反応ガスを分布させることができる。
また、基板ホルダを分割可能に構成して基板ホルダの破損の時に、基板ホルダ全体を再加工又は取り替える必要はなく、損傷された部分のみを再加工又は取り替えることができるので加工が容易であり、基板ホルダの全体を再加工又は取り替える必要がないので費用が節約される効果がある。
また、昇降部材が電極部と基板ホルダを同時に昇降させるように基板支持装置を構成することで装置を単純化させることができ、これを通して装置内部の空間を効率的に活用できる効果がある。
また、基板支持装置の基板ホルダを電極部に連結された昇降部材によって上昇させることで、基板の水平を維持が容易になる効果がある。
また、本発明による基板処理処置は電極部と基板ホルダを1つの昇降部材を使って昇降させる基板支持装置を含んでいて制御が容易であり、これによって工程効率を上昇できる効果がある。
また、本発明は基板処理処置の遮蔽部材と基板との間隙を一定にしてエッチングレートを均一にさせる長所がある。
また、サイドバッフルの通気孔を通してプラズマガスが排出されるようにして基板の端部にプラズマガスが留まる時間を延ばして基板の端部に均一なエッチングが行えるようにして、工程の不良発生を低めて製造費用を節減することができる長所がある。
付随する図面と組合せて本実施の形態が次の記載からより詳しく理解され得る。
本発明の第1実施形態による基板処理処置を示す断面図。 本発明の第2実施形態による基板処理処置を示す断面図。 本発明の第3実施形態による基板処理処置の構成図。 図3に図示された基板処理処置の電気的な構成図。 本発明の第1実施形態による基板ホルダを示す斜視図。 本発明の第1実施形態による基板ホルダの変更例を示す斜視図。 本発明の第2実施形態による基板ホルダを示す斜視図。 本発明の第2実施形態による基板ホルダの変更例を示す斜視図。 本発明の第3実施形態による基板ホルダを示す斜視図。 本発明の第4実施形態による基板ホルダを示す斜視図。 本発明の第5実施形態による基板ホルダを示す斜視図。 図5に図示された本発明の第1実施形態による基板ホルダを円周方向に分割して示す分離斜視図。 図12に図示された分割された基板ホルダの結合斜視図。 図7に図示された本発明の第2実施形態による基板ホルダの結合斜視図。 本発明の第6実施形態による基板ホルダの分離斜視図。 図15に図示された本発明の第6実施形態による基板ホルダの断面図。 図15に図示された本発明の第6実施形態によって上下に分割された基板ホルダを円周方向に再分割して示す分離斜視図。 本発明による基板ホルダの排気孔の変更例を示す図面。 本発明による基板支持装置を示す図面。 本発明の第1実施形態による基板処理処置の動作状態図。 本発明の第2実施形態による基板処理処置の動作状態図。 本発明の第2実施形態による基板処理処置の動作状態図。 本発明の第2実施形態による基板処理処置を適用した基板処理方法を示すフローチャート。
以下、図面を参照して、本発明による実施形態を詳しく説明する。本発明は後述する実施形態に限定されるものではなく、相違なる形態に実現され、単にこれらの実施形態は本発明の開示が完全なるものとし、通常の知識を持った者に発明の範疇を完全に知らせるために提供されるものである。図中、同じ符号は同じ構成要素を示す。
図1は、本発明の第1実施形態による基板処理処置を示す断面図であり、図2は本発明の第2実施形態による基板処理処置を示す断面図である。
図1を参照すると、本発明の第1実施形態による基板処理処置は、チャンバ100と、前記チャンバ100の上部に設けられる遮蔽部材200と、前記遮蔽部材200と対向して設けられるガス噴射部300と、前記遮蔽部材200と前記ガス噴射部300との間に設けられた基板Sを支持する基板ホルダ400と、を含む。
また、図2に示すように、本発明の第2実施形態による基板処理処置は、チャンバ100と、前記チャンバ100内の上部に設けられる遮蔽部材200と、前記遮蔽部材200と対向して設けられる基板支持装置1000と、を含む。
図1と図2の本発明の第1及び第2実施形態による基板処理処置において、チャンバ100のそれぞれは通常、円筒状又は四角ボックス形に形成され、内部には基板Sを処理できる所定の空間が用意される。前述ではチャンバ100を円筒状又は四角ボックス形に記述したが、これに限定されるものではなく、基板Sの形に対応する形に形成されることが望ましい。チャンバ100の一側壁には、基板Sが搬入、及び搬出される基板出入口110が形成され、チャンバ100の下部面にはエッチング工程の時に発生されるパーティクルなどの反応副産物をチャンバ100外部に排気するための排気部120が設けられる。この時、排気部120にはチャンバ100内の不純物をチャンバ100外部に排気するための排気手段130、例えば真空ポンプが連結される。前述ではチャンバ100を一体型として説明したが、チャンバ100を上部が開放された下部チャンバと、前記下部チャンバの上部を覆うチャンバリッドに分離して構成することもできる。
遮蔽部材200のそれぞれはチャンバ100の上部の内側面に円形のプレート状に形成され、このような遮蔽部材200は遮蔽部材200の下部に数mm以下の間隔、例えば、0.5mm以下の間隔で配置された基板Sの上部にプラズマが発生されることを防止する役割をする。遮蔽部材200は、図1に示すように、遮蔽部材200の下部面に凹部を形成できる。凹部は基板Sの上部面、及び基板Sの側面が離隔されて配置されるように基板Sと対応する形に形成され、基板Sと所定の間隔で離隔されるように基板Sの大きさよりすこし大きく形成される。
また、図2に示すように、遮蔽部材200の下部面の中心領域に突出部202が形成される。突出部202の直径は、通常基板Sの上部面が離隔して配置されるように基板Sと対応する形に形成され、基板Sの大きさよりすこし大きく形成できる。また、突出部202が形成されない遮蔽部材200の下部面には下部方向に、即ち、基板支持装置1000に向けて突出して形成された円柱形のハードストッパ210が形成され、ハードストッパ210の下端は遮蔽部材200の下部面に形成された突出部202下部面の水平面より低い位置に配置されるように延設される。即ち、ハードストッパ210は上昇する基板ホルダ400の上部と接触し、これによって基板ホルダ400に支持される基板Sは、遮蔽部材200の下部面に形成された突出部202の下部面と予め決めてある間隔に正確に維持できる。この時、ハードストッパ210は遮蔽部材200の下部面に閉曲線を成すリング状に形成されることができ、分割されたリング状に形成されることもできる。
このような遮蔽部材200には接地電位が印加され、遮蔽部材200の内側には遮蔽部材200の温度を調節するための冷却部材(図示せず)が設けられる。冷却部材は遮蔽部材200が所定の温度以上に上がることを防止することによって、チャンバ100内に形成されたプラズマから遮蔽部材200を保護することができる。また、遮蔽部材200には基板Sの上部面に非反応ガスを噴射するためにガス供給ユニット(図示せず)を連結できる。この時、ガス供給ユニットが遮蔽部材200に連結される場合、遮蔽部材200の下部面にはガス供給ユニットから供給された非反応ガスを基板Sの上部に噴射できるように複数の噴射ホール(図示せず)を形成できる。
図1の本発明の第1実施形態による基板処理処置において、ガス噴射部300は遮蔽部材200と対向して設けられた電極310と、前記電極310を昇降させる昇降部材320と、前記電極310に電源を印加するための高周波電源340と、前記電極310に連結されて電極310に反応ガスを供給するためのガス供給部330と、を含む。一方、本発明の第2実施形態による基板処理処置において、電極310の下部には電極310を支持する絶縁プレート314が更に含まれる。
電極310は円形のプレート状に形成され、通常基板Sと対応する形に形成されることが望ましい。電極310の上部面には基板Sの背面に反応ガスを噴射するための複数の噴射ホール312が形成され、電極310の下部には複数の噴射ホール312に反応ガスを供給するようにガス供給部330が噴射ホール312と連通されるように連結され、電極310を昇降させるための昇降部材320が電極310に連結される。ここで、電極310の上部面に形成された噴射ホール312は円形、多角形などの多様な形に形成できる。また、電極310の下部には電極310に高周波を供給するための高周波電源340が設けられる。ここで、高周波電源340は電極310で噴射された反応ガスに高周波信号を印加して、これによって反応ガスを活性化させてチャンバ100内にプラズマを発生させる役割をする。
チャンバ100の内部には基板Sの平面と垂直方向に形成されたリフトピン350が更に設けられる。リフトピン350はチャンバ100下部の内側に固設され、電極310を上下方向に貫通して電極310の上部に突出するように延設される。ここでリフトピン350はチャンバ100内に搬入された基板Sを受ける役割をし、安定的に基板Sの背面を支持するように複数、望ましくは3つ以上形成する。外部ロボットアーム(図示せず)から基板Sがチャンバ100内に搬入されると、ロボットアームはリフトピン350の上部面に基板Sが離隔して配置されるように水平移動し、基板Sがリフトピン350の上部に離隔して配置されると、ロボットアームを下降させて固定されたリフトピン350の上部に基板Sを配置させる。ここで、前述ではリフトピン350がチャンバ100内部に固定されたが、昇降可能にすることもできる。
基板ホルダ400はリフトピン350の上部に配置された基板Sの端を支持して基板Sを工程位置で配置させる役割をする。このような基板ホルダ400は、チャンバ100内の対向配置された遮蔽部材200とガス噴射部300の間に設けられ、リフトピン350に配置された基板Sの背面の全端部を支持して基板Sを工程位置で配置させる。ここで、基板ホルダ400に配置された基板Sを上昇させるように、図1の本発明の第1実施形態による基板処理処置では、基板ホルダ400の下部で、基板ホルダ400に駆動力を提供する駆動手段500がチャンバ100の下部の外側からチャンバ100の内側を貫通するように延設され、図2の本発明の第2実施形態による基板処理処置では、基板ホルダ400と電極部390を連結させる緩衝部材600と、電極部390の下部に連結される昇降部材320が設けられる。
図3は本発明の第3実施形態による基板処理処置の構成図であり、図4は図3に図示された基板処理処置の電気的な構成図である。
図3及び図4を参照すると、本発明の第3実施形態による基板処理処置は外部から供給される反応ガスを内部に均一に供給するガス分配プレート200aと、前記ガス分配プレート200aの下部面の端部に下向きに突出するように設置されるハードストッパ210と、前記ガス分配プレート200aを通して供給される反応ガスがプラズマ状態に変換されるように上部電極と相互作用して所定の電場を形成する下部電極310aと、前記下部電極310aの端に垂直方向に突出されてプラズマ反応ガスが均一に側面に排出され、前記下部電極310aが上昇される時に前記ハードストッパ210に接触されて前記下部電極310aがこれ以上上昇されないようにするサイドバッフル490と、前記下部電極310aを貫通して設置されたリフトピン350を昇降させるリフトピン駆動部355と、前記下部電極310aの下部に連結されたシャフト510に結合されて前記下部電極310aを昇降させるように駆動する駆動手段500と、前記ガス分配プレート200aに形成された貫通孔206a、206b、206cを通してレーザを照射して前記ガス分配プレート200aと被処理体の基板Sの間の間隙を感知する光センサ700と、前記光センサ700から間隙感知信号を受けて前記ガス分配プレート200aと前記基板Sの間の間隙を計算して、予め設定された間隙の範囲に縮めることができない場合にはエラーと認識してインターロックを発生する制御部800から構成される。
一方、図4に示すように、制御部800にはガス分配プレート200aに形成された複数の貫通孔206a、206b、206cを通してレーザを照射してガス分配プレート200aと基板Sの間の間隙を感知する複数の光センサ700と、ハードストッパ210内に装着されて下部電極310aが上昇されてサイドバッフル490が接触される時にスイッチオンされる接触スイッチ212と、リフトピン350を昇降させるリフトピン駆動部355及び下部電極310aを昇降させる駆動手段500が電気的に連結される。
本発明の第3実施形態による基板処理処置は図1と図2の本発明の第1実施形態、又は第2実施形態による基板処理処置とは違って、反応ガスがガス分配プレートの役割をする遮蔽部材200を通して噴射され、遮蔽部材200と基板Sの間の間隙を感知する光センサ700及び制御部800が設けられる。また、基板ホルダ400の代りにサイドバッフル490をチャンバ100内に備え、リフトピン350をチャンバ100内で昇降可能に構成した。ここで、本発明の第3実施形態に適用された光センサ700及び制御部800は、本発明の第1実施形態又は第2実施形態による基板処理処置に適用できる。
次に、前述の構成からなる本発明の第3実施形態による基板処理処置をより詳しく説明する。
プラズマ状態のエッチング反応ガスを使う乾式エッチング工程が行われるチャンバ100の上部には、外部から供給される反応ガスを均一に拡散させるガス分配プレート200aが設置される。ガス分配プレート200aには複数の貫通孔206a、206b、206cが形成され、貫通孔206a、206b、206cそれぞれには光センサ700が一定の間隔で設置される。本実施形態ではガス分配プレート200aに3つの貫通孔を形成し、それぞれの貫通孔は円弧上に等間隔に形成される。一方、ガス分配プレート200aは上部電極の役割を兼ねる。
ガス分配プレート200aは中央部で非反応ガスが吐出され、端部で基板Sのエッジ部に向けて反応ガスが吐出される。チャンバ100の下側の内部には基板Sが位置する下部電極310aが設置され、チャンバ100の上側の内部には下部電極310aと所定の間隔で離隔されてガス分配プレート200aに上部電極(図示せず)が設置される。上部電極の表面には複数のエッチングガス供給口(図示せず)が形成され、エッチングガス供給口を通してエッチングガスがチャンバ100内部に供給される。
下部電極310aの端部にサイドバッフル490が設置されてプラズマ反応ガスがサイドバッフル490を通して排出される。また、下部電極310aは高周波電源340と連結され、上部電極も他の高周波電源(図示せず)と連結される。
前述のような構成のチャンバ100において、真空ポンプ(図示せず)を稼働させることによって、チャンバ100内部の圧力状態は特定の高真空状態に転換される。次いで、駆動手段500を駆動させて下部電極310aを上昇させる。下部電極310aはガス分配プレート200aの端に形成されたハードストッパ210にサイドバッフル490が接触されると、上昇動作が止められる。下部電極310aが上昇される時に3つの光センサ700は、ガス分配プレート200aに形成された貫通孔206a、206b、206cを通してレーザを下部電極310aに置かれている基板Sに照射して戻る光の強さを感知して、ガス分配プレート200aと基板Sの上面の間の間隙を測定して、制御部800に印加する。制御部800は3つの光センサ700から距離感知信号を受け、ガス分配プレート200aと基板Sの間の間隙を計算して、予め設定された間隙の範囲内に縮まらない場合、エラーと認識してインターロックを発生する。そして、下部電極310aが上昇してハードストッパ210にサイドバッフル490が接触されると、ハードストッパ210の内部に設置された接触スイッチ212がスイッチオンされる。接触スイッチ212がオンされると、制御部800は駆動手段500を制御して下部電極310aがこれ以上上昇しないように制御する。従って、ガス分配プレート200aと基板Sの間の間隙がいつも一定に維持されるので、基板Sのエッジ部分でのエッチングレートの均一性を確保することができる。
制御部800は下部電極310aに置かれている基板Sが光センサ700によって水平で置かれていない状態を検出する時、検出信号を受けてインターロックを発生することも、本発明の範囲で実施可能である。
次に、エッチングガス供給口を通してチャンバ100の内部にエッチングのための反応ガスを供給する。高周波電源340が下部電極310aに印加され、上部電極は接地電源に連結される。これによって、下部電極310a及び上部電極の間には電場が形成され、下部電極310aでは自由電子を放出するようになる。
そして、下部電極310aで放出された自由電子は電場によって運動エネルギを得て加速された後、エッチングガスを通過する過程でエッチングガスと衝突して基板Sにエネルギを伝達するようになる。このような過程が繰り返されて、チャンバ100の内部は陽イオン、陰イオン、原子などが共存するプラズマ状態が形成される。プラズマ状態の陽イオンは、下部電極310aの上部に置かれている基板Sと衝突して基板Sの所定領域をエッチングする。
従来にはプラズマが不均一に発生される原因によって、チャンバ100内部に形成されるプラズマ状態のイオン密度がチャンバ100のエッジ部分で不均一に発生したが、本実施形態ではプラズマ反応ガスが下部電極310aの端に設置されているサイドバッフル490を通して排出されるようにして、プラズマ反応ガスが基板Sのエッジ部分に留まる時間を一定に延ばして、基板Sの端部におけるイオン密度が均一になり、エッチング不良の発生を防止するようになる。
以下では基板ホルダ400について図面を参照して詳しく説明する。
図5に示すように、本発明の第1実施形態による基板ホルダ400は基板Sが配置される配置部410と、前記配置部410の下部に設けられる側壁部420と、を含む。配置部410は上下部が開放されたリング状に形成され、配置部410の上部面には基板Sの背面の全端部が配置される。ここで、配置部410はリング状に形成されたが、基板Sの形によって変更できる。側壁部420は中心部が上下に貫通して形成された円筒状に形成され、側壁部420の上部面は配置部410の下部面と結合される。ここで、側壁部420は配置部410と別途の結合部材によっても結合でき、接着部材によっても接着できる。側壁部420には左右に貫通して形成された排気孔422が複数形成され、このような排気孔422は電極310から放出される反応ガスを側壁部420を通して排気させる役割をする。ここで、排気孔422は円形又は多角形成に形成でき、円形及び多角形の排気孔422を組合せて使うことができる。また、側壁部420の下部面には側壁部420の外側に突出するように支持部430が更に設けられ、このような支持部430は基板ホルダ400を昇降させるために基板ホルダ400に連結される駆動手段500の上部面が支持部430の下部に結合できる。前述では配置部410と側壁部420を分離して説明したが、一体にも形成できる。
側壁部420の下部面には側壁部420の外側に突出するように支持部430が更に形成でき、このような支持部430は、本発明の第1実施形態による基板処理処置のようにチャンバ100の下部面を貫通する駆動手段500に連結されるか、又は本発明の第2実施形態による基板処理処置のように基板ホルダ400と絶縁プレート314の間に連結される緩衝部材600と連結される。
一方、図6に示すように、図5の本発明の第1実施形態による基板ホルダ400の変形の実施形態として配置部410の上部に内側に凹形に形成された複数の凹部412を形成できる。このような凹部412には基板Sを工程位置に配置させるために基板ホルダ400を上昇させる場合、遮蔽部材200の下部面に形成されたハードストッパ210(図2参照)と接触できる。基板ホルダ400の変形の実施形態で形成された複数の凹部412は自由選択の構造である。
一方、図7に示すように、本発明の第2実施形態による基板ホルダ400はリング状の配置部410と、前記配置部410の内周縁に形成された突出部412と、前記配置部410の下部面と結合されて複数の排気孔422が形成された側壁部420と、を含む。
突出部412は配置部410の内周縁に沿って突出されて形成され、具体的には突出部412は図7(a)に示すように、配置部410の上部面と段差を持って配置部410の内周縁に沿って閉曲線を成すように延設できる。ここで、基板Sは配置部410の内周縁に沿って形成された突出部412の上部面に基板Sの背面の全端部が配置され、基板Sの側面は配置部410の内周縁と離隔して配置される。また、突出部412は図7(b)に示すように、配置部410の内周縁に沿って分割して形成できる。ここで、基板Sは突出部412の上部面に配置され、基板Sの背面の端は突出部412の上部面と部分接触、又は点接触して突出部412の上部面に配置できる。
一方、図8に示すように、本発明の第2実施形態による基板ホルダの変形の実施形態として遮蔽部材200の下部面に形成されたハードストッパ210の下部面と接触する複数の凹部412を配置部410の上部に形成できる。
また、図9に示すように、本発明の第3実施形態による基板ホルダ400はリング状の配置部410と、前記配置部410の上部面に形成された突出部412と、前記配置部410の下部面と結合されて複数の排気孔422が形成された側壁部420と、を含む。突出部412は配置部410の上部面に上部に向けて突出するように延設され、このような突出部412の上部面には基板Sが配置される。ここで突出部412は図9(a)に示すように配置部410の上部で閉曲線を成すように形成でき、図9(b)に示すように配置部410の上部で分割されて形成できる。前述では基板Sを突出部412の上部に配置されるように説明したが、これに限定されることなく、突出部412の内側壁に基板Sの側面が対面するように基板Sを突出部412の内側に配置させることができる。図7ないし図9のような構成は、突出部の上部又は突出部の内側に基板を配置させることで、基板Sを配置部に安定的に配置させることができる効果がある。
また、図10に示すように、本発明の第4実施形態による基板ホルダ400はリング状の配置部410と、前記配置部410の下部に設けられた所定の傾斜を持つ側壁部420と、を含む。側壁部420は上下が貫通して形成された円筒形に形成され、側壁部420の上部面は配置部410の下部面と結合される。また、側壁部420には複数の排気孔422が形成され、このような排気孔422は多様な形に形成できる。ここで、側壁部420は下部方向に行くほどその直径が大きくなるように、図10(a)に示すように配置部410の外側に向けて下向きに傾斜を形成でき、図10(b)に示すように側壁部420の下部方向に行くほどその直径が小さくなるように配置部410の内側に向けて下向きに傾斜を形成できる。
前述のような構成は、基板ホルダ400の側壁部420に傾斜を形成することで、配置部410の上部面に配置された基板Sに向けて噴射された反応ガスが側壁部420の内側壁面に留まらないように基板Sの背面に導いて、基板Sの背面に均一な反応ガスを分布させることができる効果がある。また、このような均一な反応ガス分布は基板Sの背面に均一なプラズマを形成させて基板Sの背面のエッチング均一度を高めることができる。
また、図11に示すように、本発明の第5実施形態による基板ホルダ400は、基板Sの背面の全端部が配置される複数の配置部410と、前記複数の配置部410の下部に設けられる側壁部420と、を含む。配置部410は上下部が開放されたリング状に形成され、リングの円周方向によって分割されて形成される。分割された配置部410の下部には複数の側壁部420が設けられ、それぞれの側壁部420は分割された配置部410に対応する領域に結合される。ここで、分割された複数の側壁部420には基板Sの背面に噴射された反応ガスを排気するための複数の排気孔422を形成でき、このような排気孔422は分割された側壁部420中、少なくともいずれかの1つに形成できる。
分割された基板ホルダ400は、図11(a)に示すように2つに分割されて形成でき、図11(b)に示すように3つに分割されて形成できる。もちろん、基板ホルダ400はこれに限定されることなく、4つ以上に分割されて形成できる。前述のような構成は基板ホルダ400を分割して形成することで、基板ホルダ400を製作する時、基板ホルダ400の加工性を高めることができる効果がある。
一方、図5ないし図10に示される第1実施形態ないし第4実施形態による基板ホルダ400の場合にも、第5実施形態による基板ホルダ400のように分割して形成することができる。
なお、基板ホルダ400を分割して形成する場合、図12ないし図17に示すようにそれぞれの分割された基板ホルダに円周連結構造450を形成することもできる。
図12及び図13は本発明の第1実施形態による基板ホルダを分割して示す分離斜視図及び結合斜視図であり、図14は本発明の第2実施形態による基板ホルダを分割して示す結合斜視図である。
図12ないし図14を参照すると、複数に分割された基板ホルダ400a、400b、400c、400dには、少なくとも1つ以上の円周連結構造450が設けられる。円周連結構造450は分割された基板ホルダの一側端部に垂直に形成される連結溝451と、連結溝451に隣接する他側の分割された基板ホルダの端部に連結溝451に対応する形の連結溝451とかみ合う連結部452が形成される。連結溝451には両側にストッパ451aが形成されて連結部452の両側端部が掛かって側方向に抜けないようにし、連結溝451の上下に連結部452をスライドさせて分離することができる。連結溝451及び連結部452は四角、多角、円形などに多様に形成することができる。
本実施形態では複数に分割された基板ホルダ400a、400b、400c、400dに一対の連結溝451、又は一対の連結部452をそれぞれ形成したが、他の実施形態として複数に分割された基板ホルダ400a、400b、400c、400dそれぞれに1つの連結溝451及び1つの連結部452を形成することもできる。また、支持部430には上下に貫通する複数の連結孔を形成して、駆動手段500及び緩衝部材600に分割された基板ホルダ400を容易に結合することができる。
図15及び図16は、本発明の第6実施形態による基板ホルダの分離斜視図及び断面図である。
図15及び図16を参照すると、本発明の第6実施形態による基板ホルダ400は、上下に分割された基板ホルダ400e、400fで成り立って、上下分割された基板ホルダ400e、400fを連結する少なくとも1つ以上の上下連結構造470を備える。
上下連結構造470は上下に分割された基板ホルダ400e、400fの上下端部に上部段爪471と下部段爪472が対応するようにそれぞれ形成される。上下に分割された基板ホルダ400e、400fが重ね合う時、上部段爪471が下部段爪472の内部で重ねられるように形成するか、これとは反対に上部段爪471の下部段爪472の外部で重ねられるように形成することができる。即ち、上部段爪471と下部段爪472は雄と雌で対応しかみ合って連結される。上下に分割された基板ホルダ400e、400fで上下対応されるように形成される段爪471、472の形は本実施形態に限定されることなく、多様な形に変更できる。一方、図17に示すように、本発明の第6実施形態によって上下に分割された基板ホルダ400を、また円周方向に複数分割して構成することもできる。
前述のように基板ホルダ400を分割して形成することで、基板ホルダの破損の時に破損されたホルダ全体を再加工又は取り替える必要はなく、破損された部分のみを再加工又は取り替えることができるので、加工が容易で早くて基板ホルダ全体を再加工又は取り替えるのに必要な費用を節約できる。
また、図18に示すように、本発明の第1実施形態ないし第6実施形態による基板ホルダ400に形成された排気孔422をスリット形に変更して形成できる。スリット形の排気孔422は、図18(a)に示すように側壁部420の円周方向に等間隔を成すように形成されることができ、図18(b)に示すように側壁部420の円周方向の垂直方向に等間隔を成すように形成されることもできる。もちろん、側壁部420に形成されたスリット形の排気孔422の形及び配置はこれに限定されることなく、多様に形成できる。このような排気孔422の形の変更は基板Sの下部に噴射される反応ガス及びプラズマをよりスムーズに排気することができ、これによって基板S下部面、特に、基板S端のエッチング均一度を高めることができる効果がある。
図2の本発明の第2実施形態による基板処理処置において、緩衝部材600は電極310の絶縁プレート314と基板ホルダ400の間に設けられ基板ホルダ400を電極310の一側に連結させる役割をし、本体610と、前記本体610内に設けられる弾性部材620と、前記弾性部材620の上部に設けられるホルダ支持台630と、を含む。
本体610は円筒状又は多角形に形成され、本体610の内部には上部が開放された所定の空間が用意される。所定の空間の内側には弾性部材620が設けられ、弾性部材620は所定の空間が形成された本体610の内側底面に固定される。このような弾性部材620としてはスプリングのような部材が使われることができる。弾性部材620の上部にはホルダ支持台630が設けられ、ホルダ支持台630は所定の空間が形成された本体610の内側に一部が挿入されて本体610の上部に突出するように延設される。ここで、緩衝部材600は本体610の外周縁が絶縁プレート314の外周縁に結合され、ホルダ支持台630の上部は基板ホルダ400の下部と結合される。ここで、緩衝部材600は電極310の外周面から離隔されるように複数が設けられ、複数の緩衝部材600は絶縁プレート314の外周面に沿って結合できる。
基板ホルダ400の上部面に支持される基板Sが遮蔽部材200と所定の間隔を成すように電極310及び基板ホルダ400が上昇すると、基板ホルダ400の上部に形成された凹部412に遮蔽部材200の下部面に形成されたハードストッパ210が係合し、これによって基板ホルダ400の上部に配置された基板Sは遮蔽部材200と所定の間隔を維持するようになる。基板ホルダ400の上部に凹部412が形成されない場合にはハードストッパ210の下部面が基板ホルダ400の上部面に接触した状態で所定の間隔を維持するようになる。
次いで、遮蔽部材200と電極310の間にプラズマ間隙を調節するために電極310が更に上昇すると、緩衝部材600の本体610内に形成された弾性部材620は収縮するようになり、基板ホルダ400が固定された状態で電極310だけ上昇するようになる。もちろん、電極310の上昇の時に電極310の下部に結合された絶縁プレート314も上昇することは当然である。
昇降部材320は電極310を支持する絶縁プレート314の下部に連結され、電極310と基板ホルダ400を同時に上昇させる役割をする。昇降部材320には昇降部材320に駆動力を提供するように昇降部材320にはモーターのような駆動部(図示せず)を更に連結できる。
従来の基板ホルダは、外部ロボットアームからチャンバ内に搬入される基板を受けて配置させるために、ロボットアームと衝突、或いは干渉されないようにリング状の配置部の所定部分を開放し、これによって基板の下部面を支持する配置部は基板の背面の全端部ではなく所定部分を除いた領域のみを支持していた。これは基板の下部面に反応ガスが噴射される場合、配置部の開放された部分を通して反応ガスが漏れる場合があり、基板背面にプラズマが生成される場合に配置部の開放された部分を通してプラズマが漏れるか、放電が分離する現象が発生していた。このような状態で基板の背面を処理する場合、基板背面に発生された不均一のプラズマによって基板の端部に行くほどエッチング均一度が急激に悪くなっていた。
これとは対照的に、本発明の基板ホルダはチャンバ内に搬入される基板を受ける役割をリフトピンによって代わり、基板ホルダの配置部を連続的な閉曲線のリング状で形成することで、基板の背面のほとんど全端部は配置部の上部面に接触するようになり、基板背面に噴射された反応ガスが基板の背面の端を通して漏れるのを防止することができる。また、本発明の基板ホルダは側壁部及び側壁部に貫通孔を形成することで、基板背面に噴射された反応ガスを均一に分布させることができ、これで基板背面に均一なプラズマを発生させることができる。従って、工程が行われる場合、基板背面に発生された均一なプラズマは基板背面のエッチング均一度を高めることができる効果がある。
本発明による基板支持装置1000は、次のように構成できる。
図19に示すように、基板支持装置1000は、電極310及び絶縁プレート314からなる電極部390と、前記電極部390の上部に設けられる基板ホルダ400と、前記電極部390と基板ホルダ400の間に設けられ電極部390と基板ホルダ400を連結する緩衝部材600と、前記電極部390の下部に連結されて電極部390と基板ホルダ400を同時に昇降させる昇降部材320と、を含む。ここで、前述の基板ホルダ400と重複する説明は省略する。
電極部390は電極310と、電極310の下部面に結合される絶縁プレート314で構成され、電極部390の上部には基板Sのほとんど全端部を支持する基板ホルダ400が設けられる。また、電極部390と基板ホルダ400の間には電極部390と基板ホルダ400を連結する緩衝部材600が更に設けられる。
緩衝部材600の本体610内側には、上部が開放された所定の空間が形成され、所定の空間には弾性部材620と、弾性部材620の上部に設けられ基板ホルダ400の支持部430に結合されるホルダ支持台630が設けられる。ここで、緩衝部材600の本体610は、電極310の外周縁から離隔されて設けられ、連結部によって電極310の外周縁に結合される。ここで、緩衝部材600は電極310の外周縁に沿って複数が離隔されて配置でき、複数の緩衝部材600は電極310の外周縁にそれぞれ、又は一体に結合できる。また、電極部390の下部には電極部390と基板ホルダ400を同時に昇降させるための昇降部材320が連結される。ここで、電極310の下部に設けられる絶縁プレート314は省略できる。
図1の本発明の第1実施形態による基板処理処置では、基板ホルダ400を上昇させる駆動手段と電極を上昇させる昇降部材を別途設けて別々に制御したが、工程効率をより高めるために本発明の第2実施形態による基板処理処置では、基板ホルダ400と電極部390を同時に上昇させるように昇降部材600を備え、基板ホルダ400を電極部390の一側に連結させることで、装置を単純化させることができ、これによってチャンバ100内部の空間を十分に活用することができる。また、基板ホルダ400は電極部390と同時に昇降させるのため基板310と電極部390の間の間隔を均一で一定に水平に維持できる。また、基板ホルダ400と電極部390の間に緩衝部材600を備えることで、基板ホルダ400が固定された状態で電極部390を昇降させることができるので、電極部390と遮蔽部材200の間のプラズマ間隙を精密で容易に調節できる。
以下では、図20及び図21ないし図23を参照して、本発明の第1実施形態による基板処理処置を適用した基板処理方法と、本発明の第2実施形態による基板処理処置を適用した基板処理方法に関して説明する。
まず、図20を参照して図1の本発明の第1実施形態による基板処理処置を適用した基板処理方法は次のようである。
外部ロボットアーム(図示せず)から基板Sがチャンバ100内に搬入されてリフトピン350の上部に配置されると、リフトピン350の上部面より低く配置された基板ホルダ400は遮蔽部材200に向けて上昇する。この時、基板ホルダ400が上昇する間にリフトピン350に配置された基板Sの端は所定の幅の閉曲線を成す形で全体的には基板ホルダ400、具体的に配置部410の上部面に配置され、基板Sが配置された基板ホルダ400は基板Sが遮蔽部材200と所定の間隔で配置されるように上昇する。ここで、基板Sと遮蔽部材200との間隔は0.5mm以下になるように配置されることが望ましく、これは基板Sの上部面にプラズマが発生されることを防止する効果がある。
基板Sが基板ホルダ400によって遮蔽部材200と所定の間隔で離隔されるように配置されると、電極310に連結された昇降部材320によって電極310が上昇し、これによって電極310と遮蔽部材200の間に高密度のプラズマが発生するように適切な間隙を維持する。
次いで、電極310に連結されたガス供給部330から反応ガスが電極310に形成された噴射ホール312を通して基板Sの下部に噴射され、噴射された反応ガスは基板Sの背面に均一に分布される。即ち、基板ホルダ400の側壁部420は基板Sの下部に噴射された反応ガスを基板Sの下部の中心領域で離脱されないように基板Sの背面に閉じ込める役割をし、側壁部420に形成された複数の排気孔422は基板Sの下部に留まる反応ガスが均一に分布するように反応ガスをすべての方向に均一に排気する。
次いで、電極310に連結された高周波電源340から電極310に電源が印加されて電極310と遮蔽部材200の間には均一なプラズマが形成され、このようなプラズマは基板Sの背面に形成される。この時、基板ホルダ400に支持される基板Sと側壁部420が形成する空間領域にプラズマが留まるようになり、プラズマが漏れることを防止し、これによって基板Sの背面の全体領域にプラズマを均一に維持することができる。このように基板Sの背面の中心領域と端の領域にプラズマが均一に維持され、基板背面のエッチング均一度を高めることができる。前述のように発生された均一なプラズマによって基板Sの背面のエッチングが行われて工程を終わらせる。前述のように基板Sの背面に発生された高密度プラズマは基板Sの背面に付着している異物、即ち、薄膜及びパーティクルを効果的に除去して、基板Sの背面のエッチング均一度を高める。
また、図2の本発明の第2実施形態による基板処理処置を適用した基板処理方法は次のようである。
図21ないし図23を参照すると、本発明による基板処理方法は基板をチャンバ内に搬入する段階S10と、基板を基板ホルダにローディングする段階S20と、基板ホルダと基板ホルダ下方の電極部を同時に上昇させる段階S30と、基板ホルダが停止した状態で電極部を更に上昇させる段階S40と、基板を処理する段階S50と、基板を引き出す段階S60と、を含む。
外部ロボットアーム(図示せず)はチャンバ100外部に設けられて前処理を終えた基板Sをチャンバ100内に水平移動して基板Sをチャンバ100内に移送する。チャンバ100内に移送された基板Sはチャンバ100内の下部に設置されたリフトピン350の上部面と離隔されるように配置され、ロボットアームは下部に移動して基板Sをリフトピン350の上部面と離隔されるように配置され、ロボットアームは下部に移動して基板Sをリフトピン350の上部面に配置させて基板Sをチャンバ100内に搬入する段階S10を行う。この時、基板ホルダ400の上部面はリフトピン350の上部面より下側に配置されるように待機する。
次いで、電極部390の下部に連結された昇降部材320によって電極部390及び、これに連結された基板ホルダ400は遮蔽部材200に向けて上昇するようになり、電極部390及び基板ホルダ400が上昇する間にリフトピン350の上部に配置された基板Sは基板ホルダ400の上部面に配置されて基板Sを基板ホルダ400にローディングする段階S20を行う。
次いで、基板Sのほとんど全端部が配置された基板ホルダ400は更に上昇するようになり、図21に示すように、遮蔽部材200の下部面に形成されたハードストッパ210が基板ホルダ400の配置部410の上部面に形成された凹部412に挟まれると、電極部390及び基板ホルダ400の上昇を止めて基板ホルダ400と基板ホルダ400下方の電極部390を同時に上昇させる段階S30を行う。ここで、基板ホルダ400の上部に配置された基板Sの上部面は遮蔽部材200の下部に突出して形成された突出部202の下部面と約0.5mm以下の間隔を維持する。
次いで、図22に示すように、電極部390の下部に連結された昇降部材320によって電極部390は遮蔽部材200とプラズマ間隙を調節するように更に上昇する。この時、電極部390と基板ホルダ400の間に連結された緩衝部材600の本体610内に設けられる弾性部材630が収縮されながら、電極部390に連結された基板ホルダ400は遮蔽部材200の下部に形成されたハードストッパ210によって固定された状態を維持し、電極部390だけが上昇するようになり、基板ホルダ400が停止された状態で電極部390を更に上昇させる段階S40を行う。
次いで、電極310に連結されたガス供給部330から反応ガスが電極310に形成された噴射ホール312を経って基板Sの下部に噴射され、噴射された反応ガスは基板Sの背面に均一に分布される。この時、反応ガスが基板Sの背面に噴射される間に基板ホルダ400の側壁部420に形成された排気孔422は電極310から噴射される反応ガスをほとんどすべての方向に均一に排出するのに使用され、基板Sの背面に噴射された反応ガスを均一に分布させることができる。以後、電極310に連結された高周波電源340から電極310に高周波信号が印加され、これによって電極310と遮蔽部材200の間に、具体的に基板Sの下部空間に均一なプラズマが形成される。以後、基板Sの下部空間に形成された均一なプラズマは基板Sの背面に形成された薄膜及びパーティクルなどの異物をエッチングして基板を処理する段階S50を行う。
次いで、電極部390の下部に連結された昇降部材320が収縮された状態から膨脹された状態に変わって、電極部390と同時に基板ホルダ400が下降するようになる。以後、基板ホルダ400が下降する間に基板ホルダ400の上部面に配置された基板Sは待機しているリフトピン350の上部に配置され、電極部390及び基板ホルダ400は更に下降するようになり、基板ホルダ400の上部面がリフトピン350の上部面より低い位置に配置されるように初期位置に復帰する。以後、リフトピン350の上部に配置された基板Sは外部ロボットアームによってチャンバ100の外部に引き出され、基板を引き出す段階S60を終わらせる。
以上、本発明について実施形態及び図面を参照して説明したが、本技術分野の通常の知識を持った者ならば、後述される特許請求範囲の技術的思想の範囲内で、本発明が多様に変形及び修正できると理解できるはずである。

Claims (5)

  1. チャンバと、
    前記チャンバ内の上部に設けられ基板上面にプラズマが発生することを防ぐための遮蔽部材と、
    前記遮蔽部材の下部に前記遮蔽部材の下部から下方に突出して形成されたハードストッパと、
    前記遮蔽部材と対向して前記チャンバ内の下部に設けられ電極と、
    前記遮蔽部材と前記電極の間に設けられ基板ホルダと、を含み、
    前記基板ホルダはリング状の配置部と、前記配置部の下部面に連結されて前記配置部の下部面を支持する側壁部と、前記側壁部に形成された排気孔と、前記配置部の内周縁又は前記配置部の上部面に形成された突出部と、を含み、前記突出部の上部又は内側に基板の端が配置され、前記基板ホルダを上昇させた状態で前記ハードストッパと対応して前記ハードストッパと接触するように前記配置部の上部に凹部が形成された基板処理処置。
  2. チャンバと、
    前記チャンバ内の上部に設けられ基板上面にプラズマが発生することを防ぐための遮蔽部材と、
    前記遮蔽部材の下部に前記遮蔽部材の下部から下方に突出して形成されたハードストッパと、
    前記遮蔽部材と対向して前記チャンバ内の下部に設けられ電極部と、
    前記遮蔽部材と前記電極部の間に設けられ基板の端を支持する基板ホルダと、
    前記電極部と前記基板ホルダを連結する緩衝部材と、
    前記電極部の下部に連結される昇降部材と、を含み、
    前記基板ホルダはリング状の配置部と、前記配置部の下部面に連結されて前記配置部の下部面を支持する側壁部と、前記側壁部に形成された排気孔と、前記配置部の内周縁又は前記配置部の上部面に形成された突出部と、を含み、前記突出部の上部又は内側に基板の端が配置され、前記基板ホルダを上昇させた状態で前記ハードストッパと対応して前記ハードストッパと接触するように前記配置部の上部に凹部が形成された基板処理処置。
  3. 前記チャンバ内には前記電極を貫通するリフトピンが更に設けられる請求項1又は2記載の基板処理処置。
  4. 前記電極にはガスを噴射する噴射ホールが形成される請求項1又は2記載の基板処理処置。
  5. 前記緩衝部材は上部が開放されるように内部空間が設けられる本体と、前記本体の内部空間に設けられる弾性部材と、前記弾性部材の上部に設けられ前記本体の上部に突出するように延設されたホルダ支持台と、を含む請求項記載の基板処理処置。
JP2010543054A 2008-01-16 2009-01-15 基板処理装置 Expired - Fee Related JP5548841B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
KR1020080004871A KR101318704B1 (ko) 2008-01-16 2008-01-16 기판 지지장치, 이를 구비하는 플라즈마 처리장치 및플라즈마 처리방법
KR10-2008-0004871 2008-01-16
KR10-2008-0004870 2008-01-16
KR1020080004870A KR101421644B1 (ko) 2008-01-16 2008-01-16 기판 지지장치 및 이를 구비하는 기판 처리장치
KR10-2008-0009463 2008-01-30
KR1020080009463A KR101312283B1 (ko) 2008-01-30 2008-01-30 웨이퍼 홀더
KR20080011600A KR101507937B1 (ko) 2008-02-05 2008-02-05 플라즈마 식각장치
KR10-2008-0011600 2008-02-05
PCT/KR2009/000211 WO2009091189A2 (en) 2008-01-16 2009-01-15 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013141498A Division JP5617109B2 (ja) 2008-01-16 2013-07-05 基板支持装置、及びこれを利用する基板処理方法

Publications (2)

Publication Number Publication Date
JP2011510498A JP2011510498A (ja) 2011-03-31
JP5548841B2 true JP5548841B2 (ja) 2014-07-16

Family

ID=40885799

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010543054A Expired - Fee Related JP5548841B2 (ja) 2008-01-16 2009-01-15 基板処理装置
JP2013141498A Expired - Fee Related JP5617109B2 (ja) 2008-01-16 2013-07-05 基板支持装置、及びこれを利用する基板処理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013141498A Expired - Fee Related JP5617109B2 (ja) 2008-01-16 2013-07-05 基板支持装置、及びこれを利用する基板処理方法

Country Status (4)

Country Link
US (2) US20110049100A1 (ja)
JP (2) JP5548841B2 (ja)
CN (1) CN101919041B (ja)
WO (1) WO2009091189A2 (ja)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
CN103403852B (zh) * 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
KR101294812B1 (ko) * 2011-04-22 2013-08-08 주식회사 에이피테크 반도체 소자 제조장치
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
CN102758185A (zh) * 2011-04-29 2012-10-31 深圳富泰宏精密工业有限公司 分流器
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101317644B1 (ko) * 2011-08-25 2013-10-15 주식회사 테스 플라즈마 처리장치 및 방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5821039B2 (ja) * 2011-11-07 2015-11-24 パナソニックIpマネジメント株式会社 プラズマ処理装置
US9376752B2 (en) 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9916994B2 (en) * 2013-03-06 2018-03-13 Applied Materials, Inc. Substrate support with multi-piece sealing surface
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP2854155B1 (en) * 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
CN103943450B (zh) * 2013-12-24 2016-05-18 成都天马微电子有限公司 一种干刻设备的电极和干刻设备
EP3103135B1 (de) * 2014-02-03 2021-05-12 Ev Group E. Thallner GmbH Verfahren und vorrichtung zum bonden von substraten
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259827A1 (en) * 2014-03-17 2015-09-17 Epistar Corporation Susceptor
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3129833B1 (en) * 2014-04-09 2018-05-02 ASML Netherlands B.V. Apparatus for cleaning an object
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105810546B (zh) * 2014-12-30 2017-10-13 中微半导体设备(上海)有限公司 一种介电参数连续可调的等离子处理器
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104730782B (zh) * 2015-04-01 2018-03-27 上海天马微电子有限公司 一种阵列基板、显示面板和显示装置
US10147745B2 (en) 2015-04-01 2018-12-04 Shanghai Tianma Micro-electronics Co., Ltd. Array substrate, display panel and display device
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) * 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
CN105206495B (zh) * 2015-08-17 2018-08-07 深圳市华星光电技术有限公司 干式蚀刻装置及阵列基板干式蚀刻去除静电方法
CN105225914B (zh) * 2015-08-25 2018-01-23 沈阳拓荆科技有限公司 一种改善晶圆表面薄膜形貌的半导体等离子处理装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
DE102015223807A1 (de) 2015-12-01 2017-06-01 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht in einer Abscheidekammer, Vorrichtung zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht und Halbleiterscheibe mit epitaktischer Schicht
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6614610B2 (ja) * 2016-02-12 2019-12-04 株式会社Screenホールディングス 基板処理装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9741537B1 (en) * 2016-02-19 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for supplying ion beam in ion implantation process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR101800321B1 (ko) * 2016-04-18 2017-11-22 최상준 건식 에칭장치
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018039578A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Low pressure lift pin cavity hardware
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018119959A1 (zh) * 2016-12-29 2018-07-05 深圳市柔宇科技有限公司 干蚀刻设备
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102109832B1 (ko) * 2017-02-23 2020-05-12 주식회사 엘지화학 이차전지용 플라즈마 발생장치 및 그를 포함하는 라미네이션 시스템
JP6198168B1 (ja) * 2017-02-23 2017-09-20 日本新工芯技株式会社 電極用リング
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
GB201709446D0 (en) 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102538177B1 (ko) * 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102404061B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20210039422A (ko) * 2018-07-30 2021-04-09 노드슨 코포레이션 플라즈마로 작업편을 처리하기 위한 시스템
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11408734B2 (en) 2019-01-03 2022-08-09 Lam Research Corporation Distance measurement between gas distribution device and substrate support at high temperatures
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11358168B2 (en) * 2019-06-18 2022-06-14 Visera Technologies Company Limited Coating apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体系统
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7326119B2 (ja) 2019-11-07 2023-08-15 株式会社アルバック 基板ステージ及び真空処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
WO2021194780A1 (en) * 2020-03-27 2021-09-30 Corning Incorporated Substrate holder for use with interferometer
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN111508887B (zh) * 2020-04-16 2023-10-13 北京北方华创微电子装备有限公司 半导体制造设备及其保护环
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法
KR102396431B1 (ko) * 2020-08-14 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TWI746222B (zh) * 2020-10-21 2021-11-11 財團法人工業技術研究院 鍍膜設備
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems
KR102555016B1 (ko) * 2023-02-13 2023-07-17 주식회사 기가레인 플라즈마 식각 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPH05144776A (ja) * 1991-11-19 1993-06-11 Oki Electric Ind Co Ltd ドライプロセス装置
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3527080B2 (ja) * 1997-12-26 2004-05-17 三菱マテリアル株式会社 板状部材収納容器
JP2000077392A (ja) * 1998-09-01 2000-03-14 Matsushita Electric Ind Co Ltd 真空処理装置
JP2000183033A (ja) * 1998-12-15 2000-06-30 Nec Yamaguchi Ltd 半導体製造方法及び半導体製造装置
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
JP2003124167A (ja) * 2001-10-10 2003-04-25 Sumitomo Heavy Ind Ltd ウエハ支持部材及びこれを用いる両頭研削装置
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US7882800B2 (en) * 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
JP2004172243A (ja) * 2002-11-19 2004-06-17 Nec Kansai Ltd ドライエッチング装置
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
KR100556532B1 (ko) * 2003-12-04 2006-03-06 삼성전자주식회사 플라즈마 식각 장치
KR100549273B1 (ko) * 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
KR20060110555A (ko) * 2005-04-20 2006-10-25 삼성전자주식회사 에지링을 갖는 급속열처리장치
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP2007242858A (ja) * 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
JP5349341B2 (ja) * 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
JP2013232670A (ja) 2013-11-14
WO2009091189A2 (en) 2009-07-23
JP5617109B2 (ja) 2014-11-05
CN101919041B (zh) 2013-03-27
US20110049100A1 (en) 2011-03-03
WO2009091189A3 (en) 2009-10-22
CN101919041A (zh) 2010-12-15
US20140332498A1 (en) 2014-11-13
JP2011510498A (ja) 2011-03-31

Similar Documents

Publication Publication Date Title
JP5548841B2 (ja) 基板処理装置
KR100978754B1 (ko) 플라즈마 처리 장치
KR100777467B1 (ko) 기판의 가장 자리 및 후면을 동시 식각하기 위한 플라즈마식각 장치 및 이를 위한 기판 리프팅 장치
US8852386B2 (en) Plasma processing apparatus
JP2011517087A (ja) プラズマ処理装置及びプラズマ処理方法
KR20090073425A (ko) 기판 처리 장치 및 기판 처리 방법
JP2019201047A (ja) クリーニング方法及び基板処理装置
KR20100043844A (ko) 플라즈마 처리 장치
KR101318704B1 (ko) 기판 지지장치, 이를 구비하는 플라즈마 처리장치 및플라즈마 처리방법
KR100777466B1 (ko) 피처리 기판의 가장 자리 및 후면을 동시 식각하기 위한플라즈마 식각 장치 및 이를 위한 기판 리프팅 장치
KR100916931B1 (ko) 기판 처리 장치
KR20100053250A (ko) 플라즈마 화학기상증착 장치용 섀도우프레임
KR101412620B1 (ko) 플라즈마 식각 장치
KR100686285B1 (ko) 플라즈마 처리 장치 및 배기 판
KR101277503B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR101435973B1 (ko) 웨이퍼 후면 크리닝 장치 및 방법
KR101390785B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101421644B1 (ko) 기판 지지장치 및 이를 구비하는 기판 처리장치
KR100737713B1 (ko) 플라즈마 처리장치
KR20080026340A (ko) 배플 플레이트를 구비한 플라즈마 처리 장치
KR100774497B1 (ko) 기판을 처리하는 장치 및 방법
KR101433863B1 (ko) 박막처리장치
KR100733080B1 (ko) 식각장치
KR101062683B1 (ko) 공정챔버의 측벽을 통하여 공정가스를 분사하고 배출하는 플라즈마 공정장비 및 이를 이용한 기판의 처리방법
JP2015037139A (ja) プラズマエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120106

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130524

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130910

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131210

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140110

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140311

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20140314

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140410

R150 Certificate of patent or registration of utility model

Ref document number: 5548841

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees