CN101919041B - 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法 - Google Patents

衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法 Download PDF

Info

Publication number
CN101919041B
CN101919041B CN2009801021638A CN200980102163A CN101919041B CN 101919041 B CN101919041 B CN 101919041B CN 2009801021638 A CN2009801021638 A CN 2009801021638A CN 200980102163 A CN200980102163 A CN 200980102163A CN 101919041 B CN101919041 B CN 101919041B
Authority
CN
China
Prior art keywords
substrate
sidewall
substrate holder
electrode
holder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801021638A
Other languages
English (en)
Other versions
CN101919041A (zh
Inventor
韩泳琪
徐映水
金亨源
尹致国
李庠勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sosul Co Ltd
Original Assignee
Sosul Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020080004871A external-priority patent/KR101318704B1/ko
Priority claimed from KR1020080004870A external-priority patent/KR101421644B1/ko
Priority claimed from KR1020080009463A external-priority patent/KR101312283B1/ko
Priority claimed from KR20080011600A external-priority patent/KR101507937B1/ko
Application filed by Sosul Co Ltd filed Critical Sosul Co Ltd
Publication of CN101919041A publication Critical patent/CN101919041A/zh
Application granted granted Critical
Publication of CN101919041B publication Critical patent/CN101919041B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供一种衬底固持器、衬底支撑设备、衬底处理设备以及衬底处理方法。明确地说,提供一种适于改进处理效率和衬底的背表面处的蚀刻均匀性的衬底固持器、衬底支撑设备、衬底处理设备以及衬底处理方法。

Description

衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
技术领域
本发明涉及一种衬底固持器(substrate holder)、衬底支撑设备、衬底处理设备以及衬底处理方法,且更明确地说,涉及一种适于改进处理效率和衬底的背表面处的蚀刻均匀性的衬底固持器、衬底支撑设备、衬底处理设备以及衬底处理方法。
背景技术
一般来说,半导体设备以及平面显示设备通过以下操作来制造:将多个薄层沉积在衬底的前表面上,以及蚀刻所述薄层以在衬底上形成具有预定图案的装置。即,通过使用沉积设备来将薄层沉积在衬底的前表面上,且接着通过使用蚀刻设备来将薄层的部分蚀刻为预定图案。
明确地说,由于此类薄层沉积和蚀刻工艺在衬底的同一表面(前表面)上执行,所以在薄层沉积工艺期间沉积在衬底背表面上的外来物质(例如薄层和粒子)并未移除,且剩余外来物质在后续工艺中导致各种问题,例如衬底的弯曲和未对准(misalignment)。因此,广泛使用干式清洗(dry cleaning)方法以用于重复清洗沉积在衬底的背表面上的薄层和粒子以移除薄层和粒子,且接着在所述衬底上执行后续工艺,以便增加半导体装置制造工艺的良率。
在用于清洗衬底的背表面的常规干式清洗工艺中,例如半导体晶片的衬底放置在屏蔽部件(shield member)与下部电极之间,屏蔽部件与下部电极布置在闭合腔室中以彼此面对,其间具有预定间隙。接着,将衬底提升到处理位置,且将下部电极提升以调整屏蔽部件与下部电极之间的间隙(等离子间隙)。屏蔽部件具备上部电极,其安置在面对下部电极的位置处且用作用于将气体朝向衬底注入的气体分配板(gas distribution plate)。接着,使腔室抽空到高真空状态,然后将反应气体引入到腔室中。通过跨越屏蔽部件与下部电极施加高频电力来将所引入气体激发为等离子状态,且使用等离子状态气体将不必要的外来物质从衬底的背表面移除。此处,经运载到腔室中的衬底在以下状态下被处理:所述衬底被支撑在腔室中所提供的衬底支撑设备上,且位于屏蔽部件与下部电极之间的处理位置处。
然而,由于此类常规衬底支撑设备具有不干扰用以将衬底运载到腔室中的运载单元的开放侧,因此注入到衬底支撑设备所支撑的衬底的背表面的反应气体可能由于衬底支撑设备的开放侧的缘故而泄漏或分流(split)。这降低了衬底的背表面的蚀刻均匀性。
此外,在常规衬底支撑设备中,用以将衬底放置在其上的衬底固持器和下部电极通过单独驱动单元来致动。因此,衬底支撑设备的结构是复杂的,且难以使用腔室的内部空间。另外,由于驱动单元经个别控制来致动衬底固持器和下部电极,所以处理效率较低。
此外,由于通过驱动单元将衬底固持器从腔室的底部表面移动到相当高的位置,所以难以使衬底与下部电极平行且使屏蔽部件与衬底之间的间隙均匀。因此,蚀刻速率在衬底的边缘部分降低。
另外,尽管在衬底处理工艺期间衬底固持器仅是部分损坏,但常规衬底固持器仍然需要全部进行修复或替换,所以衬底处理设备的维修成本较高,且由于准备新的衬底固持器需要时间,因此重新操作所述衬底处理设备所需的时间较长。
另外,由于排气孔均一地形成于常规衬底固持器中用于排出等离子,所以工艺应用范围受限。
另外,如果未使用环形衬底固持器,那么衬底与电极之间所产生的等离子非均匀或快速地排出,即,等离子停留时间(staying time)会发生变化或变得太短。因此,衬底未受到均匀处理。
发明内容
技术问题
为了消除上文所提及的限制,本发明提供一种衬底固持器、衬底支撑设备、衬底处理设备以及衬底处理方法。根据本发明,衬底固持器较简单且由新的部件部分地替代。此外,防止在衬底的背表面处产生的等离子的泄漏,且通过使用包含衬底固持器的衬底支撑设备来恒定地保持等离子停留时间,以便有效地清洗衬底的背表面且改进处理效率。此外,经由屏蔽部件注入的气体跨越衬底而均匀地分配,从而改进衬底的边缘部分的蚀刻均匀性。
技术解决方案
根据一示范性实施例,衬底固持器包含:环形台,其经配置以在其上接纳衬底的边缘部分;侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面;以及排气孔,其形成在所述侧壁中。
根据另一示范性实施例,衬底支撑设备包含:电极单元;缓冲器部件,其安置在所述电极单元的外圆周处;衬底固持器,其安置在所述缓冲器部件上用于通过支撑衬底的边缘部分来将所述衬底与所述电极单元分隔开;以及升降部件(elevating member),其经配置以向上和向下移动所述电极单元和所述衬底固持器。
根据另一示范性实施例,衬底处理设备包含:腔室;屏蔽部件,其安置在所述腔室中;电极,其面对所述屏蔽部件;以及衬底固持器,其安置在所述屏蔽部件与所述电极之间,其中所述衬底固持器包含:环形台,其经配置以在其上接纳衬底的边缘部分;侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面;以及排气孔,其形成在所述侧壁中。
根据另一示范性实施例,衬底处理设备包含:腔室;屏蔽部件,其安置在所述腔室中;电极单元,其面对所述屏蔽部件;衬底固持器,其安置在所述屏蔽部件与所述电极之间用于支撑衬底的边缘部分:缓冲器部件,其连接所述电极单元与所述衬底固持器;以及升降部件,其连接到所述电极单元的下部部分,其中所述衬底固持器包含:环形台,其经配置以在其上接纳衬底的所述边缘部分;侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面;以及排气孔,其形成在所述侧壁中。
根据另一示范性实施例,衬底处理设备包含:气体分配板,其经配置以均匀分配从外部源供应的反应气体;硬质止动器(hard stopper),其从所述气体分配板的下部边缘部分向下突出;下部电极,其经配置以与上部电极相互作用以形成电场,用于将经由所述气体分配板供应的反应气体激发为等离子状态;以及侧隔板(side baffle),其从所述下部电极的边缘部分垂直地突出,用于在横向方向上经过其均匀地排出等离子反应气体,且在所述下部电极提升时与所述硬质止动器接触以限制所述下部电极的所述提升。
根据另一示范性实施例,衬底处理方法包含:将衬底运载到腔室中;将所述衬底装载到衬底固持器上;同时提升所述衬底固持器和安置在所述衬底固持器下方的电极单元;处理所述衬底;以及将所述衬底运载出所述腔室。
有益效果
根据本发明的教示,可在衬底的背表面处均匀地产生等离子以改进跨越衬底的背表面的蚀刻均匀性。详细地,通过使用在侧壁处具有各种形状和大小的排气孔的衬底固持器来防止朝向放置在腔室中的衬底注入的反应气体的泄漏,使得在衬底与电极之间产生的等离子可停留恒定的时间,且反应气体可平滑地流动以用于跨越衬底的背表面而均匀分配。
此外,衬底固持器可具有经划分的结构,且在此状况下,当衬底固持器损坏时,衬底固持器可部分地重新机械加工或替换而无需整体地重新机械加工或替换衬底固持器。因此,维修机械加工可容易地执行,且可降低维修成本。
此外,衬底支撑设备可经配置以使得可通过升降部件来同时提升电极单元和衬底固持器。在此状况下,衬底支撑设备可具有简单结构,且可有效地使用空间。
此外,由于通过连接到电极单元的升降部件来提升衬底支撑设备的衬底固持器,所以可容易维持放置在衬底固持器上的衬底的水平位置。
此外,由于衬底处理设备包含经配置以使用单一升降部件来提升电极单元和衬底固持器的衬底支撑设备,所以衬底处理设备可容易地受控制,且处理效率可得以改进。
另外,由于衬底处理设备的屏蔽部件可与衬底间隔开均匀的间隙,所以衬底可受到均匀蚀刻。
此外,由于等离子气体经由侧隔板的排气孔排出,所以等离子气体可停留在衬底的边缘部分处持续较长时间,且因此衬底的边缘部分可受到均匀蚀刻。因此,可减少处理误差和制造成本。
附图说明
可根据结合附图理解的以下描述来更详细理解示范性实施例,附图中:
图1是说明根据一示范性实施例的衬底处理设备的横截面图。
图2是说明根据另一示范性实施例的衬底处理设备的横截面图。
图3是说明根据另一示范性实施例的衬底处理设备的示意图。
图4是说明图3的衬底处理设备的电连接的框图。
图5是说明根据一示范性实施例的衬底固持器的透视图。
图6是说明图5的衬底固持器的修改版本的透视图。
图7是说明根据另一示范性实施例的衬底固持器的透视图。
图8是说明图7的衬底固持器的修改版本的透视图。
图9是说明根据另一示范性实施例的衬底固持器的透视图。
图10是说明根据另一示范性实施例的衬底固持器的透视图。
图11是说明根据另一示范性实施例的衬底固持器的透视图。
图12是说明当衬底固持器在圆周方向上划分时图5的衬底固持器的分解透视图。
图13是说明图12的经划分衬底固持器的组装状态的透视图。
图14是说明当衬底固持器具有经划分结构时图7的衬底固持器的组装状态的透视图。
图15是说明根据另一示范性实施例的衬底固持器的分解透视图。
图16是说明图15的衬底固持器的横截面图。
图17是说明在圆周方向上重新划分衬底固持器之后的图15的垂直划分的衬底固持器的分解透视图。
图18是说明根据一示范性实施例的衬底固持器的排气孔的修改版本的图。
图19是说明根据一示范性实施例的衬底支撑设备的图。
图20是说明图1的衬底处理设备的操作状态的图。
图21和图22是说明图2的衬底处理设备的操作状态的图。
图23是根据一示范性实施例的用于解释使用图2的衬底处理设备的衬底处理方法的流程图。
具体实施方式
在下文中,将参看附图来详细描述特定实施例。然而,本发明可以不同形式来体现,且不应解释为限于本文所陈述的实施例。事实上,提供这些实施例以使得本发明将为详尽且完整的,且将向所属领域的技术人员充分传达本发明的范围。在图式中,相同参考标号在全文中指代相同元件。
图1是说明根据一示范性实施例的衬底处理设备的横截面图,且图2是说明根据另一示范性实施例的衬底处理设备的横截面图。
参看图1,实施例的衬底处理设备包含腔室100、提供在腔室100的上部区域处的屏蔽部件200、安置在与屏蔽部件200相对的一侧处的气体注入单元300,以及安置在屏蔽部件200与气体注入单元300之间用于支撑衬底S的衬底固持器400。
参看图2,另一实施例的衬底处理设备包含腔室100、提供在腔室100的上部区域处的屏蔽部件200,以及安置在与屏蔽部件200相对的位置处的衬底支撑设备1000。
图1和图2的衬底处理设备的腔室100中的每一个可具有圆柱形或矩形盒形状,且在腔室100中形成空间用于处理衬底S。腔室100的形状并不限于圆柱形或矩形盒形状;即,腔室100可具有对应于衬底S的形状的任何其它形状。衬底门110形成在腔室100的侧壁中用于将衬底S运载到腔室100中以及将衬底S运载出腔室100,且排气部分120提供在腔室100的底部表面处用于将在蚀刻工艺期间产生的例如粒子等反应副产品排出到腔室100外部。例如真空泵的排气单元130连接到排气部分120用于从腔室100内部排出污染物。所说明的腔室100为整体式(one-piece)腔室;然而,腔室100可通过具有开放顶部侧的下部腔室以及用以覆盖下部腔室的开放顶部侧的腔室盖来配置。
屏蔽部件200中的每一个具有圆形板形状且安置在腔室100的上部内表面处。屏蔽部件200防止在衬底S的前表面上产生等离子,所述衬底S安置在屏蔽部件200下方且与屏蔽部件200间隔开几毫米,例如0.5毫米。如图1所示,可在屏蔽部件200的底部表面中形成凹座。凹座具有对应于衬底S的形状的形状,使得衬底S的前表面和侧表面可与屏蔽部件200的底部表面间隔开,且凹座经形成为大于衬底S以用于使屏蔽部件200与衬底S间隔预定距离。
或者,如图2所示,可在屏蔽部件200的底部表面的中心部分上形成突出物202。突出物202可具有对应于衬底S的形状的形状以将衬底S的前表面放置在距突出物202预定距离处,且突出物202可稍微大于衬底S。圆柱形硬质止动器210从屏蔽部件200的底部表面的未形成突出物202的部分突出。硬质止动器210向下突出,即在朝向衬底支撑设备1000的方向上突出。硬质止动器210的下端低于形成在屏蔽部件200的底部表面上的突出物202的水平底部表面。即,当衬底固持器400提升时,硬质止动器210与衬底固持器400的上部部分接触,使得支撑在衬底固持器400上的衬底S可与形成在屏蔽部件200的底部表面上的突出物202的底部表面精确地间隔开预定距离。突出物202可具有圆环形状以在屏蔽部件200的底部表面处形成闭合曲线(closed curve),或突出物202可具有经划分的环形形状。
可将接地电压施加到屏蔽部件200,且可将冷却部件(未示出)安置在屏蔽部件200内部以调整屏蔽部件200的温度。冷却部件可通过保持屏蔽部件200低于预定温度来保护屏蔽部件200使其不受等离子影响。气体供应单元(未示出)可连接到屏蔽部件200以将非反应气体供应到衬底S的前表面。在此状况下,多个注入孔(未示出)可穿过屏蔽部件200的底部表面形成以用于将从气体供应单元供应的非反应气体注入到衬底S的前表面。
在图1的衬底处理设备中,气体注入单元300经安置以面对屏蔽部分200。气体注入单元300包含电极310、经配置以升高和降低电极310的升降部件320、经配置以将电力供应到电极310的高频电源340,以及连接到电极310以将反应气体供应到电极310的气体供应单元330。图2的衬底处理设备进一步包含绝缘板314,其安置在电极310的下侧处用于支撑电极310。
电极310可具有对应于衬底S的圆形板形状。多个注入孔312穿过电极310的顶部表面而形成以将反应气体注入到衬底S的背表面,且气体供应单元330穿过电极310的底部侧连接到注入孔312用于将反应气体供应到注入孔312。升降部件320连接到电极310的底部侧用于提升和降低电极310。穿过电极310的顶部表面形成的注入孔312可具有例如圆形形状和多边形形状等形状。高频电源340安置在电极310下方用于将高频电力供应到电极310。因此,可将高频电力施加到经由电极310供应到腔室100中的反应气体,以便将反应气体激活为等离子状态。
提升杆(lift pin)350可在垂直于衬底S的方向上安置在腔室100中。在腔室100中,提升杆350固定到下部位置且垂直延伸穿过电极310,使得提升杆350从电极310的顶部表面突出。引入到腔室100中的衬底S放置在提升杆350上,且提升杆350的数目可为至少三个以稳定地支撑衬底S。举例来说,外部机械臂(未示出)将衬底S运载到腔室100中,且将衬底S水平移动到提升杆350上方的位置,且接着机械臂降低衬底S以将衬底S放置在固定的提升杆350的顶部表面上。替代于将提升杆350固定到腔室100内部,提升杆350可以可移动方式安置在腔室100内部。
衬底固持器400用以支撑放置在提升杆350上的衬底S的边缘部分,且将衬底S移动到处理位置。衬底固持器400安置在腔室100中在屏蔽部件200与气体注入单元300之间,且经配置以支撑放置在提升杆350上的衬底S的背表面的整个边缘部分,且将所述衬底S移动到处理位置。在图1的衬底处理设备的状况下,驱动单元500安置在腔室100下方,且连接到衬底固持器400的底部侧用于通过致动衬底固持器400来提升放置在提升杆350上的衬底S。在图2的衬底处理设备的状况下,衬底固持器400穿过缓冲器部件600连接到电极单元390,且升降部件320连接到电极单元390的底部侧,以便升高放置在提升杆350上的衬底S。
图3是说明根据另一示范性实施例的衬底处理设备的示意图,且图4是说明图3的衬底处理设备的电连接的框图。
参看图3和图4,当前实施例的衬底处理设备包含:气体分配板200a,其经配置以均匀分配从外部气体源供应的反应气体;硬质止动器210,其从气体分配板200a的底部表面的边缘部分向下突出;下部电极310a,其经配置以与上部电极一起形成电场,以便将经由气体分配板200a供应的反应气体激活为等离子状态;侧隔板490,其从下部电极310a的边缘部分垂直突出以在横向方向上均匀排出等离子反应气体,且在下部电极310a提升时与硬质止动器210接触以便限制下部电极310a的向上移动;提升杆驱动单元355,其经配置以升高及降低插入且穿过下部电极310a的提升杆350;驱动单元500,其耦合到连接到下部电极310a的底部侧的轴510,用于向上和向下移动下部电极310a;光学传感器700,其经配置以通过投射(cast)激光束通过穿过气体分配板200a而形成的穿透孔206a、206b和206c来感测气体分配板200a与衬底S之间的间隙;以及控制单元800,其经配置以接收来自光学传感器700的间隙感测信号,且使用所接收的间隙感测信号来计算气体分配板200a与衬底S之间的距离,用于在所计算的距离大于预定值时产生联锁(interlock)信号(误差信号)。
如图4所示,控制单元800电连接到:光学传感器700,其经配置以通过发射激光束通过穿过气体分配板200a而形成的穿透孔206a、206b和206c来检测气体分配板200a与衬底S之间的间隙;接触开关212,其嵌入于硬质止动器210中,且经配置以当侧隔板490通过提升下部电极310a而与硬质止动器210接触时接通;提升杆驱动单元355,其经配置以提升和降低提升杆350;以及驱动单元500,其经配置以提升和降低下部电极310a。
当前实施例的衬底处理设备不同于图1或图2的衬底处理设备,其不同之处在于:反应气体通过气体分配板200a注入,且提供光学传感器700和控制单元800以检测气体分配板200a与衬底S之间的间隙。另外,侧隔板490替代于衬底固持器400而提供于腔室100中,且提升杆350经配置以在腔室100中可向上和向下移动。显而易见,当前实施例的衬底处理设备中所使用的光学传感器700和控制单元800还可用于图1或图2的衬底处理设备中。
现将更详细描述当前实施例的衬底处理设备。
气体分配板200a安置在腔室100的上部区域处以均匀扩散从外部反应气体源供应的反应气体,从而通过使用等离子状态的蚀刻反应气体在腔室100中执行干式蚀刻工艺。穿透孔206a、206b和206c穿过气体分配板200a形成,且光学传感器700以规则间隔布置在穿透孔206a、206b和206c处。在当前实施例中,穿透孔206a、206b和206c的数目为三,且穿透孔206a、206b和206c以规则间隔布置在圆弧上。气体分配板200a还可用作上部电极。
非反应气体通过气体分配板200a的中心部分注入,且反应气体通过气体分配板200a的边缘部分注入。下部电极310a安置在腔室100内部的下部位置处,且衬底S放置在下部电极310a的上方。在腔室100的下部内部位置处,安装电极310以放置衬底S,且在腔室100的上部内部位置处,在气体分配板200a处安装上部电极(未示出),其与下部电极310a间隔预定距离。多个蚀刻气体供应孔(未示出)穿过上部电极形成,使得蚀刻气体可通过蚀刻气体供应孔供应到腔室100中。
侧隔板490安置在下部电极310a的边缘部分处,使得等离子反应气体可通过侧隔板490排出。下部电极310a连接到高频电源340,且上部电极连接到另一高频电源(未示出)。
当操作真空泵(未示出)时,腔室100的内部压力降低到高真空状态。接着,操作驱动单元500以提升和降低电极310a。下部电极310a提升直到侧隔板490与安置在气体分配板200a的边缘部分处的硬质止动器210接触为止。当下部电极310a提升时,三个光学传感器700通过穿过气体分配板200a形成的穿透孔206a、206b和206c朝向放置在下部电极310a处的衬底S发射激光束,以便通过测量所反射激光束的强度来检测气体分配板200a与衬底S之间的距离。三个光学传感器700将检测结果发送到控制单元800。控制单元800接收来自三个光学传感器700的距离感测信号,且计算气体分配板200a与衬底S之间的距离。如果所计算的距离大于预定值,那么控制单元800产生联锁信号(误差信号)。如果侧隔板490在下部电极310a提升时与硬质止动器210接触,那么安置在硬质止动器210内部的接触开关212接通。接着,控制单元800控制驱动单元500以停止下部电极310a。以此方式,气体分配板200a与衬底S之间的距离每次可恒定地调整,使得衬底S的边缘部分可被均匀地蚀刻。
根据一实施例,如果控制单元800根据从光学传感器700接收的感测信号确定衬底S未水平放置在下部电极310a处,那么控制单元800可产生联锁信号。
接着,反应气体通过蚀刻气体供应孔供应到腔室100内部用于执行蚀刻工艺。高频电力从高频电源340施加到电极310,且上部电极连接到接地电压电平。因此,在下部电极310a与上部电极之间形成电场,且从下部电极310a发射自由电子。
从下部电极310a发射的自由电子通过从电场接收的能量而加速,且当经加速的自由电子通过反应气体时,自由电子与反应气体碰撞,使得能量可转移到衬底S。当重复此操作时,正离子、负离子和原子团共同存在于腔室100中(等离子状态)。在等离子状态中,正离子与安置在下部电极310a上方的衬底S碰撞,使得衬底S的预定区域可被蚀刻。
在相关技术中,等离子非均匀地产生在腔室中,因此衬底的边缘部分处的离子密度也不均匀。然而,根据当前实施例,由于等离子反应气体通过安置在下部电极310a的边缘部分处的侧隔板490排出,所以等离子反应气体可更均匀地停留在衬底S的边缘部分处持续较长时间,且可均匀地维持衬底S的边缘部分处的离子密度以防止蚀刻误差。
在下文中,将参看其中示出示范性实施例的附图更详细描述衬底固持器400。
参看图5,根据实施例,衬底固持器400包含经配置以将衬底S放置于其上的台410,以及提供在台400的下侧处的侧壁420。台410具有有开放的顶部和底部侧的环形形状,且衬底S的背表面的几乎整个边缘部分可放置在台410的顶部表面上。在当前实施例中,台410具有圆环形形状;然而,台410可根据衬底S的形状而具有任何其它形状。侧壁420具有圆柱形状,其在其中心部分处具有垂直穿透开口,且侧壁420的顶部表面耦合到台410的底部表面。侧壁420可使用额外耦合部件或粘接部件耦合到台410。多个径向排气孔422穿过侧壁420而形成,使得反应气体可通过侧壁420的排气孔422排出离开电极310(参看图1)。排气孔422可具有圆形或多边形形状,或排气孔422中的一些可具有圆形形状,且其它排气孔可具有多边形形状。支撑部分430可从侧壁420的底部表面部分向外突出。在此状况下,驱动单元500的顶部表面(参看图1)可耦合到支撑部分430的下部部分用于向上和向下移动衬底固持器400。在当前实施例,台410和侧壁420为单独部分;然而,台410和侧壁420可以是一体成型。
如上所述,衬底固持器400可进一步包含支撑部分430,其从侧壁420的下部底部表面部分向外突出。在图1的衬底处理设备中,支撑部分430可连接到插入且穿过腔室100的底部侧的驱动单元500。在图2的衬底处理设备中,支撑部分430可连接到连接在衬底固持器400与绝缘板314之间的缓冲器部件600。
参看图6,说明图5的衬底固持器400的修改版本。根据修改版本,多个凹座412可形成在台410的顶部表面中。当衬底固持器400提升以将衬底S放置在处理位置时,凹座412可与形成在屏蔽部件200(参看图2)的底部表面上的硬质止动器210(参看图2)啮合。形成在衬底固持器400的修改版本中的凹座412为任选结构。
参看图7,根据另一实施例,衬底固持器400包含环形台410、形成在台410的内圆周上的突出物412,以及耦合到台410的底部表面且包含多个排气孔422的侧壁420。
突出物412沿着台410的内圆周延伸。详细地,如图7(a)所示,突出物412和台410的顶部表面可具有不同高度,且突出物412可沿着台410的内圆周延伸以形成闭合曲线。在此状况下,衬底S的背表面的几乎整个边缘部分可放置在沿着台410的内圆周形成的突出物412的顶部表面上,且衬底S的侧表面可与台410的内圆周间隔开。或者,突出物412可沿着台410的内圆周离散地形成,如图7(b)所示。在此状况下,当衬底S放置在突出物412上时,衬底S的背表面可与突出物412的离散部分的顶部表面部分接触或点接触。
参看图8,说明图7的衬底固持器400的修改版本。根据修改版本,多个凹座412可形成在台410的顶部表面中,用于与形成在屏蔽部件200(参看图2)的底部表面上的硬质止动器210(参看图2)啮合。
参看图9,根据另一实施例,衬底固持器400包含环形台410、形成在台410的顶部表面上的突出物412,以及耦合到台410的底部表面且包含多个排气孔422的侧壁420。突出物412从台410的顶部表面向上延伸用于在其上接纳衬底S。突出物412可形成在台410的顶部表面上以形成如图9(a)所示的闭合曲线,或突出物412可离散地形成在台410的顶部表面上,如图9(b)所示。参看图9,衬底S可放置在突出物412的顶部表面上;然而,本发明并不限于此。举例来说,衬底S可放置在突出物412内部,使得衬底S的侧表面可面对突出物412的内部侧表面。通过将衬底S安置在突出物412的顶部表面上或突出物412的内部可将衬底S稳定地放置在台410处,如图7到图9所示。
参看图10,根据另一实施例,衬底固持器400包含环形台410以及提供在台410的下侧处的倾斜侧壁420。侧壁420具有垂直穿透开口的圆柱形状,且侧壁420的顶部表面耦合到台410的底部表面。多个排气孔422穿过侧壁420形成。排气孔422可具有各种形状。如图10(a)所示,侧壁420可从台410向下且向外倾斜,使得侧壁420可具有向下增加的直径,或如图10(b)所示,侧壁420可从台410向下且向内倾斜,使得侧壁420可具有向下减小的直径。
在当前实施例中,衬底固持器400的侧壁420为倾斜的,使得朝向放置在台410的顶部表面上的衬底S的背表面注入的反应气体可平滑地导引到衬底S的背表面,而不会在侧壁420的内表面处停滞。因此,反应气体可跨越衬底S的背表面均匀地分配。另外,由于等离子可由于反应气体的均匀分配而跨越衬底S的背表面均匀地产生,所以衬底S的背表面可受到均匀地蚀刻。
参看图10,根据另一实施例,衬底固持器400包含多个台410以及提供在台410的下侧处的多个侧壁420。衬底S的背表面的几乎整个边缘部分可放置在台410上。台410以环形形状布置,且具有开放的顶部和底部侧。侧壁420提供在台410的下部侧处,即,多个侧壁420分别耦合到相应的台410。多个排气孔422可穿过侧壁420形成,用于排出朝向衬底S的背表面注入的反应气体。排气孔422可穿过侧壁420中的至少一个而形成。
衬底固持器400可如图11(a)所示划分为两个部分或如图11(b)所示划分为三个部分。然而,本发明并不限于此。举例来说,衬底固持器400可划分为四个部分或更多部分。通过如上所解释来划分衬底固持器400,衬底固持器400可在制造工艺期间容易地进行机械加工。
图5到图10中所说明的先前实施例的衬底固持器400可类似于当前实施例的衬底固持器400来划分。
在衬底固持器400如上文所解释来划分的状况下,可提供圆周耦合结构450用于衬底固持器400的经划分部分,如图12到图17所示。
图12和图13是说明当衬底固持器划分为多个部分时图5的衬底固持器的分解透视图和组装透视图,且图14是说明当衬底固持器具有经划分的结构时图7的衬底固持器的组装状态的透视图。
参看图12到图14,经划分的衬底固持器400的子部分400a、400b、400c和400d包含至少一个圆周耦合结构450。圆周耦合结构450包含耦合凹槽451和耦合部分452。耦合凹槽451垂直地形成在子部分400a、400b、400c和400d中的一个的侧部分中,且耦合部分452邻近于耦合凹槽451形成在子部分400a、400b、400c和400d中的另一个的侧部分上。耦合部分452具有对应于耦合凹槽451的形状的形状。止动器451a沿着耦合凹槽451的两侧形成,以用于固持耦合部分452的两侧且防止耦合部分452横向脱离(escape)。耦合部分452可通过沿着耦合凹槽451垂直滑动耦合部分452而从耦合凹槽451释放。耦合凹槽451和耦合部分452可具有各种形状,例如矩形、多边形和圆形形状。
在当前实施例中,一对耦合凹槽451或一对耦合部分452形成在衬底固持器400的子部分400a、400b、400c和400d中的每一个处。在另一实施例中,耦合凹槽451和耦合部分452可形成在衬底固持器400的子部分400a、400b、400c和400d中的每一个处。多个连接孔可穿过支撑部分430形成,用于将经划分的衬底固持器400容易地耦合到驱动单元500(参看图1)或缓冲器部件600(参看图2)。
图15和图16是说明根据另一示范性实施例的衬底固持器400的分解透视图和横截面图。
参看图15和图16,当前实施例的衬底固持器400垂直划分为子部分400e和400f,且提供至少一个垂直耦合结构470用于耦合经划分衬底固持器400的子部分400e和400f。
垂直耦合结构470包含形成在子部分400e和400f的相应末端部分处的上部和下部夹爪(jaw)471和472。当子部分400e和400f彼此啮合时,上部夹爪471可放在下部夹爪472顶部上且安置在下部夹爪472内部,或上部夹爪471可放在下部夹爪472顶部上且安置在下部夹爪472周围。即,上部夹爪471和下部夹爪472彼此耦合作为相应的公-母接头部分。子部分400e和400f的垂直对应的上部和下部夹爪471和472可具有其它形状以及当前实施例中所示的形状。如图17所示,图15的垂直划分的衬底固持器400可在圆周方向上重新划分。
通过如上所解释来划分衬底固持器400,当衬底固持器400损坏时,可仅重新机械加工或替换衬底固持器400的损坏部分,而无需重新机械加工或替换衬底固持器400整体。因此,维修机械加工可容易且快速地执行,且可降低维修成本。
如图18所示,形成在上述实施例的衬底固持器400中的排气孔422可具有狭缝形状。狭缝状排气孔422可如图18(a)所示以规则间隔沿着侧壁420的圆周布置,或狭缝状排气孔422可如图18(b)所示在垂直于侧壁420的圆周方向的方向上以规则间隔布置。然而,形成在侧壁420中的排气孔422的形状和布置可与上文所解释的不同。通过根据(例如)工艺条件变化如上所述的排气孔422的形状,可更平滑地排出朝向衬底S的背表面注入的反应气体(等离子),且因此可均匀地蚀刻衬底S的背表面(明确地说,背表面边缘部分)。
在图2的衬底处理设备中,缓冲器部件600提供在电极310与绝缘板314之间以便将衬底固持器400连接到电极310的一侧。缓冲器部件600包含主体610、安置在主体610内部的弹性部件620,以及安置在弹性部件620的上部部分处的固持器支撑件630。
主体610具有有开放顶部侧的圆柱体或多面体形状,且在主体610内部形成预定空间。弹性部件620安置在主体610的预定空间中,且固定到主体610的内部底部侧。弹性部件620可为例如弹簧等部件。固持器支撑件630安置在弹性部件620的上部部分处。固持器支撑件630部分插入在主体610中且从主体610向上突出。缓冲器部件600的主体610的外表面耦合到绝缘板314的外表面,且固持器支撑件630的上部部分耦合到衬底固持器400的下部部分。缓冲器部件600可提供有多个,且与电极310的外表面间隔开。在此状况下,缓冲器部件600可沿着绝缘板314的圆周耦合到绝缘板314。
如果电极310和衬底固持器400提升直到支撑在衬底固持器400的顶部表面上的衬底S与屏蔽部件200间隔预定距离为止,那么形成在屏蔽部件200的底部表面上的硬质止动器210与形成在衬底固持器400的顶部表面处的凹座412啮合,使得可稳定地维持支撑在衬底固持器400的顶部表面上的衬底S与屏蔽部件200之间的预定距离(在凹座412未形成的状况下,所述预定距离在硬质止动器210的底部表面与衬底固持器400的顶部表面接触的状态下得以稳定维持)。
接着,如果电极310进一步提升以调整屏蔽部件200与电极310之间的等离子间隙,那么安置在缓冲器部件600的主体610内部的弹性部件620被压缩。即,在衬底固持器400固定的状态下仅电极310提升。此处,当电极310提升时,耦合到电极310的底部侧的绝缘板314也提升。
升降部件320连接到绝缘板314的底部侧,从而支撑电极310以提升电极310和衬底固持器400两者。例如马达等驱动单元(未示出)可连接到升降部件320用于将驱动力提供到升降部件320。
在相关技术中,衬底固持器的环形台的一部分是开放的,以便在通过机械臂将衬底运载到腔室中且放置在台上时防止台与机械臂之间的碰撞或干扰。因此,衬底的背表面的整个边缘部分不支撑在台上。在此状况下,朝向衬底的背表面注入的反应气体可能通过台的开放部分而泄漏,且在衬底的背表面处产生的等离子也可能通过台的开放部分而泄漏,或等离子排放可能分离。因此,如果衬底的背表面在此状态中经处理,那么蚀刻均匀性由于衬底的背表面处的不稳定的等离子的缘故而随着其到达衬底的背表面的边缘部分而降低。
然而,根据示范性实施例,运载到腔室中的衬底首先放置在提升杆上,且衬底固持器的台经构造以具有形成连续闭合曲线的环形形状。因此,可使衬底的背表面的几乎整个边缘部分与台的顶部表面接触,以便防止朝向衬底的背表面注入的反应气体泄漏。此外,根据示范性实施例,衬底固持器包含侧壁和穿过侧壁形成的穿透孔,使得朝向衬底的背表面注入的反应气体可均匀地分配以用于均匀地产生等离子。因此,由于衬底的背表面处的均匀等离子的缘故,衬底的背表面可受到均匀地蚀刻。
衬底支撑设备1000可如下构造。
参看图19,根据示范性实施例,衬底支撑设备1000包含:电极单元390,其由电极310和绝缘板314构成;衬底固持器400,其安置在电极单元390的上侧处;缓冲器部件600,其安置在电极单元390与衬底固持器400之间以连接电极单元390与衬底固持器400;以及升降部件320,其连接到电极单元390的底部侧用于同时移动电极单元390和衬底固持器400。将省略先前实施例中关于衬底固持器400的已给出的相同描述。
电极单元390包含电极310和耦合到电极310的底部表面的绝缘板314,且衬底固持器400提供在电极单元390上方用于支撑衬底S的几乎整个边缘部分。缓冲器部件600安置在电极单元390与衬底固持器400之间,用于连接电极单元390与衬底固持器400。
在缓冲器部件600的主体610内部形成预定空间,且所述预定空间的顶部侧是开放的。在预定空间中,安置弹性部件620,且固持器支撑件630安置在弹性部件620的上部部分处。固持器支撑件630耦合到衬底固持器400的支撑部分430。缓冲器部件600的主体610与电极310的外表面间隔开,且通过连接部分连接到电极310的外表面。缓冲器部件600可提供有多个,且以预定间隔沿着电极310的外圆周布置。多个缓冲器部件600可个别地或整体地耦合到电极310的外圆周。升降部件320连接到电极单元390的底部侧用于同时移动电极单元390和衬底固持器400。可省略提供在电极310的底部侧处的绝缘板314。
在图1的衬底处理设备中,衬底固持器400和电极310通过个别受控的驱动单元500和升降部件320来移动。然而,在图2的衬底处理设备中,提供缓冲器部件600以将衬底固持器400连接到电极单元390的一侧,用于同时移动电极单元390和衬底固持器400,使得衬底处理设备可具有简单结构,且可在腔室100中形成充分空间。此外,由于电极单元390和衬底固持器400同时移动,所以衬底S可与电极单元390均匀地、恒定地且水平地间隔开。另外,由于缓冲器部件600安置在电极单元390与衬底固持器400之间,所以电极单元390可在衬底固持器400固定的状态下提升,以便更精确且容易地调整电极单元390与屏蔽部件200之间的等离子间隙。
下文中,参看图20到图23,将给出关于使用图1的衬底处理设备的衬底处理方法以及使用图2的衬底处理设备的衬底处理方法的解释。
首先,现将参看图20给出关于使用图1的衬底处理设备的衬底处理方法的解释。
如果通过外部机械臂(未示出)将衬底S运载到腔室100中且放置在提升杆350的顶部表面上,那么放置在提升杆350的顶部表面下方的衬底固持器400朝向屏蔽部件200提升。此时,当衬底固持器400提升时,放置在提升杆350上的衬底S的边缘部分完全放置在衬底固持器400上(明确地说,在衬底固持器400的台410的顶部表面上),此形成具有预定宽度的闭合曲线,且在衬底S放置在衬底固持器400上之后,衬底固持器400进一步提升直到衬底S与屏蔽部件200间隔预定距离为止。衬底S与屏蔽部件200之间的预定距离可为约0.5mm或更小,以防止在衬底S的前表面处产生等离子。
在衬底固持器400提升直到衬底S与屏蔽部件200间隔开预定距离为止之后,电极310通过连接到电极310的升降部件320而提升,直到电极310与屏蔽部件200间隔开适于产生高密度等离子的预定间隙为止。
接着,反应气体通过穿过电极310形成的注入孔312从连接到电极310的气体供应单元330朝向衬底S的背表面注入,且所注入的反应气体跨越衬底S的背表面均匀地分配。即,衬底固持器400的侧壁420将朝向衬底S的背表面注入的反应气体限定在衬底S的背表面内,以便防止反应气体从衬底S的背表面的中心部分逸出,且穿过侧壁420形成的排气孔422用以在所有方向上均匀地排出反应气体,从而均匀地分配停留在衬底S的背表面处的反应气体。
接着,从连接到电极310的高频电源340将电力施加到电极310,以便在电极310与屏蔽部件200之间均匀地产生等离子,即在衬底S的背表面处均匀地产生等离子。此时,等离子停留在支撑在衬底固持器400上的衬底S与衬底固持器400的侧壁420之间的空间处,且因此可防止等离子泄漏,且可跨越衬底S的整个背表面均匀地分配等离子。由于等离子跨越衬底S的背表面的中心和边缘部分均匀地停留,所以可改进衬底S的背表面处的蚀刻均匀性。通过如上所述而产生的均匀等离子来蚀刻衬底S的背表面。由于在衬底S的背表面处产生的均匀等离子(高密度等离子)的缘故,可从衬底S的背表面有效地移除例如薄层和粒子等外来物质,且可改进跨越衬底S的背表面的蚀刻均匀性。
接着,现将给出关于使用图2的衬底处理设备的衬底处理方法的解释。
参看图21到图23,根据示范性实施例,衬底处理方法包含:将衬底运载到腔室中(操作S10),将衬底装载在衬底固持器上(操作S20);同时提升衬底固持器和安置在衬底固持器下方的电极单元(操作S30);在衬底固持器固定的状态下进一步提升电极单元(操作S40);处理衬底(操作S50);以及向外运载衬底(操作S60)。
详细地,通过安置在腔室100外部的外部机械臂(未示出)将预处理的衬底S水平地运载到腔室100中。通过机械臂将运载到腔室100中的衬底S移动到安置在腔室100内部的下部位置处的提升杆350的顶部表面上方,且使其降低以将衬底S放置在提升杆350的顶部表面上。以此方式,在操作S10中将衬底S运载到腔室100中。此时,衬底固持器400放置在等待位置处,在所述等待位置处,衬底固持器400的顶部表面低于提升杆350的顶部表面。
接着,通过连接到电极单元390的升降部件320使电极单元390和连接到电极单元390的衬底固持器400朝向屏蔽部件200提升,且在电极单元390和衬底固持器400提升时,放置在提升杆350的顶部表面上的衬底S放置在衬底固持器400的顶部表面上。以此方式,在操作S20中将衬底S装载在衬底固持器400上。
接着,进一步提升上面放置衬底S的几乎整个边缘部分的衬底固持器400,且如图21所示,形成在屏蔽部件200的底部表面上的硬质止动器210与形成在衬底固持器400的台410的顶部表面中的凹座412啮合,且使电极单元390和衬底固持器400停止。以此方式,在操作S30中将电极单元390和衬底固持器400同时提升。接着,放置在衬底固持器400的顶部侧上的衬底S的前表面与形成在屏蔽部件200的底部表面上的突出物202的底部表面间隔开近似0.5mm或更少。
接着,如图22所示,通过连接到电极单元390的底部侧的升降部件320进一步提升电极单元390,以便调整电极单元390与屏蔽部件200之间的(等离子)间隙。此时,安置在连接在电极单元390与衬底固持器400之间的缓冲器部件600的主体610内部的弹性部件620被压缩,且因此在连接到电极单元390的衬底固持器400由形成在屏蔽部件200的底部侧上的硬质止动器210而停止的状态下仅提升电极单元390。以此方式,在操作S40中,电极单元390在衬底固持器固定的状态中进一步提升。
接着,反应气体通过穿过电极310形成的注入孔312从连接到电极310的气体供应单元330朝向衬底S的背表面注入,且所注入的反应气体跨越衬底S的背表面均匀地分配。此时,当经由电极310朝向衬底S的背表面注入反应气体时,穿过衬底固持器400的侧壁420形成的排气孔422用以在几乎所有方向上均匀地排出所注入的反应气体,使得朝向衬底S的背表面注入的反应气体可均匀地分配。接着,从连接到电极310的高频电源340将电力施加到电极310,以便在电极310与屏蔽部件200之间(明确地说,在衬底S下方的空间处)均匀地产生等离子。接着,通过在衬底S下方的空间处均匀产生的等离子而从衬底S的背表面移除例如薄层和粒子等外来物质。以此方式,在操作S50中处理衬底S。
接着,当连接到电极单元390的底部侧的升降部件320向下移动时,经压缩的弹性部件620返回到其原始形状,且电极单元390和衬底固持器400同时向下移动。接着,当衬底固持器400向下移动时,放置在衬底固持器400的顶部表面上的衬底S放置在提升杆350的顶部表面上,且接着电极单元390和衬底固持器400进一步降低到其原始位置,在所述原始位置处,衬底固持器400的顶部表面低于提升杆350的顶部表面。接着,通过外部机械臂将放置在提升杆350的顶部表面上的衬底S运载到腔室100的外部。以此方式,在操作S60中将衬底S运载到腔室100的外部。
尽管已参考特定实施例来描述有机发光装置,但其并不限于此。因此,所属领域的技术人员将容易理解,在不偏离所附权利要求书所界定的本发明的精神和范围的情况下可对其进行各种修改和改变。

Claims (31)

1.一种衬底固持器,其包括:
环形台,其经配置以在其上接纳衬底的边缘部分;
侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面,所述衬底与所述侧壁之间围出一空间;以及
径向排气孔,其自所述空间穿过侧壁而形成在所述侧壁中。
2.根据权利要求1所述的衬底固持器,其中所述排气孔具有狭缝形状,且在平行于或垂直于所述侧壁的圆周方向的方向上延伸。
3.根据权利要求1所述的衬底固持器,其进一步包括突出物,所述突出物安置在所述台的内圆周处且具有不同于所述台的上部表面的高度的高度,其中所述衬底放置在所述突出物的上部部分上。
4.根据权利要求1所述的衬底固持器,其进一步包括安置在所述台的上部表面处的突出物,其中所述衬底放置在所述突出物的上部部分上或所述突出物的内侧处。
5.根据权利要求3或4所述的衬底固持器,其中所述突出物划分为多个部分。
6.根据权利要求1所述的衬底固持器,其中所述侧壁朝向其内部向下倾斜,或所述侧壁朝向其外部向下倾斜。
7.根据权利要求1所述的衬底固持器,其中所述台或所述侧壁在圆周方向或垂直方向上划分,或在圆周方向和垂直方向两者上划分。
8.根据权利要求7所述的衬底固持器,其中当所述台或所述侧壁在所述圆周方向上划分时,所述衬底固持器进一步包括所述台或所述侧壁处的至少一个圆周耦合结构。
9.根据权利要求8所述的衬底固持器,其中所述圆周耦合结构包括:
耦合凹槽,其垂直地形成在所述经划分的台或侧壁的一侧中;以及
耦合部分,其邻近于所述耦合凹槽而安置在所述经划分的台或侧壁的一侧处,且经配置以与所述耦合凹槽啮合。
10.根据权利要求7所述的衬底固持器,其中当所述侧壁垂直划分时,所述侧壁包括至少一个垂直耦合结构,其中所述垂直耦合结构包括垂直地对应且经配置以彼此啮合的上部和下部夹爪。
11.一种衬底支撑设备,其包括:
电极单元;
缓冲器部件,其安置在所述电极单元的外圆周处;
衬底固持器,其安置在所述缓冲器部件上用于通过支撑衬底的边缘部分来将所述衬底与所述电极单元分隔开;以及
升降部件,其经配置以向上和向下移动所述电极单元和所述衬底固持器;
其中所述衬底固持器包括:
环形台,其经配置以在其上接纳衬底的边缘部分;
侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面,所述衬底与所述侧壁之间围出一空间;以及
径向排气孔,其自所述空间穿过侧壁而形成在所述侧壁中。
12.根据权利要求11所述的衬底支撑设备,其中所述缓冲器部件包括:
主体,在其中界定预定空间且其具有开放的顶部侧;
弹性部件,其安置在所述预定空间中;以及
固持器支撑件,其安置在所述弹性部件的上部部分处且从所述主体的所述开放的顶部侧向上延伸。
13.根据权利要求12所述的衬底支撑设备,其中所述衬底固持器的下部表面支撑在所述固持器支撑件的上部表面上。
14.根据权利要求11所述的衬底支撑设备,其中所述电极单元包括:
电极;以及
绝缘板,其耦合到所述电极的下部表面,
其中所述缓冲器部件耦合到所述电极或所述绝缘板的外圆周。
15.一种衬底处理设备,其包括:
腔室;
屏蔽部件,其安置在所述腔室中;
电极,其面对所述屏蔽部件;以及
衬底固持器,其安置在所述屏蔽部件与所述电极之间,
其中所述衬底固持器包括:
环形台,其经配置以在其上接纳衬底的边缘部分;
侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面,所述衬底与所述侧壁之间围出一空间;以及
径向排气孔,其自所述空间穿过侧壁而形成在所述侧壁中。
16.一种衬底处理设备,其包括:
腔室;
屏蔽部件,其安置在所述腔室中;
电极单元,其面对所述屏蔽部件;
衬底固持器,其安置在所述屏蔽部件与所述电极之间用于支撑衬底的边缘部分;
缓冲器部件,其连接所述电极单元与所述衬底固持器;以及
升降部件,其连接到所述电极单元的下部部分,
其中所述衬底固持器包括:
环形台,其经配置以在其上接纳所述衬底的所述边缘部分;
侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面,所述衬底与所述侧壁之间围出一空间;以及
径向排气孔,其自所述空间穿过侧壁而形成在所述侧壁中。
17.根据权利要求15或16所述的衬底处理设备,其进一步包括安置在所述腔室中且插入并穿过所述电极或所述电极单元的提升杆。
18.根据权利要求15或16所述的衬底处理设备,其中所述电极或所述电极单元包括经配置以经过其中注入气体的注入孔。
19.根据权利要求16所述的衬底处理设备,其中所述缓冲器部件包括:
主体,在其中界定预定空间且其具有开放的顶部侧;
弹性部件,其安置在所述预定空间中;以及
固持器支撑件,其安置在所述弹性部件的上部部分处且从所述主体的所述开放的顶部侧向上延伸。
20.根据权利要求15或16所述的衬底处理设备,其进一步包括从所述屏蔽部件的下部部分向下突出的硬质止动器。
21.根据权利要求20所述的衬底处理设备,其进一步包括对应于所述硬质止动器且形成在所述台的上部部分中的凹座。
22.一种衬底处理设备,其包括:
气体分配板,其经配置以均匀分配从外部源供应的反应气体;
硬质止动器,其从所述气体分配板的下部边缘部分向下突出;
下部电极,其经配置以与上部电极相互作用以形成电场,用于将经由所述气体分配板供应的反应气体激发为等离子状态;
侧隔板,其从所述下部电极的边缘部分垂直地突出,用于在横向方向上经过其排出等离子反应气体,且在所述下部电极提升时与所述硬质止动器接触以限制所述下部电极的所述提升;以及
衬底固持器,其中所述衬底固持器包括:
环形台,其经配置以在其上接纳衬底的边缘部分;
侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面,所述衬底与所述侧壁之间围出一空间;以及
径向排气孔,其自所述空间穿过侧壁而形成在所述侧壁中。
23.根据权利要求22所述的衬底处理设备,其进一步包括:
提升杆驱动单元,其经配置以提升和降低插入且穿过所述下部电极的提升杆;以及
驱动单元,其耦合到连接到所述下部电极的下部部分的轴用于提升和降低所述下部电极。
24.根据权利要求23所述的衬底处理设备,其进一步包括:
光学传感器,其经配置以通过发射激光束通过穿过所述气体分配板而形成的多个穿透孔来检测所述气体分配板与衬底之间的间隙;以及
控制单元,其经配置以接收来自所述光学传感器的间隙感测信号且计算所述气体分配板与所述衬底之间的所述间隙,
其中当所述经计算的间隙大于预定间隙值时,所述控制单元确定存在误差且产生联锁信号。
25.根据权利要求24所述的衬底处理设备,其中穿过所述气体分配板形成的所述多个穿透孔的数目为三,且所述多个穿透孔经安置以在圆弧上彼此间隔开相同距离。
26.根据权利要求24所述的衬底处理设备,其中所述硬质止动器包括接触开关,所述接触开关经配置以在所述硬质止动器与所述侧隔板接触时接通。
27.根据权利要求26所述的衬底处理设备,其中当所述接触开关接通时,所述控制单元控制所述驱动单元以停止所述下部电极。
28.根据权利要求22所述的衬底处理设备,其中非反应气体经由所述气体分配板的中心部分排出,且反应气体经由所述气体分配板的边缘部分朝向所述衬底的边缘部分排出。
29.一种衬底处理方法,其包括:
将衬底运载到腔室中;
将所述衬底装载到衬底固持器上;
同时提升所述衬底固持器和安置在所述衬底固持器下方的电极单元;
处理所述衬底;以及
将所述衬底运载出所述腔室,
其中所述衬底固持器包括:
环形台,其经配置以在其上接纳衬底的边缘部分;
侧壁,其连接到所述台的下部表面用于支撑所述台的所述下部表面,所述衬底与所述侧壁之间围出一空间;以及
径向排气孔,其自所述空间穿过侧壁而形成在所述侧壁中。
30.根据权利要求29所述的衬底处理方法,其中在所述同时提升所述衬底固持器和所述电极单元之后,所述衬底处理方法进一步包括在所述衬底固持器停止时额外提升所述电极单元。
31.根据权利要求30所述的衬底处理方法,其中在所述衬底固持器停止时,连接在所述衬底固持器与所述电极单元之间的缓冲器部件经压缩以额外提升所述电极单元。
CN2009801021638A 2008-01-16 2009-01-15 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法 Expired - Fee Related CN101919041B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
KR1020080004871A KR101318704B1 (ko) 2008-01-16 2008-01-16 기판 지지장치, 이를 구비하는 플라즈마 처리장치 및플라즈마 처리방법
KR1020080004870A KR101421644B1 (ko) 2008-01-16 2008-01-16 기판 지지장치 및 이를 구비하는 기판 처리장치
KR10-2008-0004871 2008-01-16
KR10-2008-0004870 2008-01-16
KR1020080009463A KR101312283B1 (ko) 2008-01-30 2008-01-30 웨이퍼 홀더
KR10-2008-0009463 2008-01-30
KR20080011600A KR101507937B1 (ko) 2008-02-05 2008-02-05 플라즈마 식각장치
KR10-2008-0011600 2008-02-05
PCT/KR2009/000211 WO2009091189A2 (en) 2008-01-16 2009-01-15 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Publications (2)

Publication Number Publication Date
CN101919041A CN101919041A (zh) 2010-12-15
CN101919041B true CN101919041B (zh) 2013-03-27

Family

ID=40885799

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801021638A Expired - Fee Related CN101919041B (zh) 2008-01-16 2009-01-15 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法

Country Status (4)

Country Link
US (2) US20110049100A1 (zh)
JP (2) JP5548841B2 (zh)
CN (1) CN101919041B (zh)
WO (1) WO2009091189A2 (zh)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
KR101895307B1 (ko) * 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
WO2012144673A1 (ko) * 2011-04-22 2012-10-26 주식회사 위너 반도체 소자 제조장치
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
CN102758185A (zh) * 2011-04-29 2012-10-31 深圳富泰宏精密工业有限公司 分流器
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101317644B1 (ko) * 2011-08-25 2013-10-15 주식회사 테스 플라즈마 처리장치 및 방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5821039B2 (ja) * 2011-11-07 2015-11-24 パナソニックIpマネジメント株式会社 プラズマ処理装置
US9376752B2 (en) * 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9916994B2 (en) * 2013-03-06 2018-03-13 Applied Materials, Inc. Substrate support with multi-piece sealing surface
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014150260A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
EP2854155B1 (en) * 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN103943450B (zh) * 2013-12-24 2016-05-18 成都天马微电子有限公司 一种干刻设备的电极和干刻设备
KR102259484B1 (ko) * 2014-02-03 2021-06-02 에베 그룹 에. 탈너 게엠베하 기질을 결합하기 위한 방법 및 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259827A1 (en) * 2014-03-17 2015-09-17 Epistar Corporation Susceptor
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015154917A1 (en) * 2014-04-09 2015-10-15 Asml Netherlands B.V. Apparatus for cleaning an object
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105810546B (zh) * 2014-12-30 2017-10-13 中微半导体设备(上海)有限公司 一种介电参数连续可调的等离子处理器
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10147745B2 (en) 2015-04-01 2018-12-04 Shanghai Tianma Micro-electronics Co., Ltd. Array substrate, display panel and display device
CN104730782B (zh) * 2015-04-01 2018-03-27 上海天马微电子有限公司 一种阵列基板、显示面板和显示装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) * 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
CN105206495B (zh) * 2015-08-17 2018-08-07 深圳市华星光电技术有限公司 干式蚀刻装置及阵列基板干式蚀刻去除静电方法
CN105225914B (zh) * 2015-08-25 2018-01-23 沈阳拓荆科技有限公司 一种改善晶圆表面薄膜形貌的半导体等离子处理装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
DE102015223807A1 (de) 2015-12-01 2017-06-01 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht in einer Abscheidekammer, Vorrichtung zur Herstellung einer Halbleiterscheibe mit epitaktischer Schicht und Halbleiterscheibe mit epitaktischer Schicht
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6614610B2 (ja) * 2016-02-12 2019-12-04 株式会社Screenホールディングス 基板処理装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9741537B1 (en) * 2016-02-19 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for supplying ion beam in ion implantation process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR101800321B1 (ko) * 2016-04-18 2017-11-22 최상준 건식 에칭장치
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102204229B1 (ko) * 2016-08-26 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 저압 리프트 핀 캐비티 하드웨어
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018119959A1 (zh) * 2016-12-29 2018-07-05 深圳市柔宇科技有限公司 干蚀刻设备
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6198168B1 (ja) * 2017-02-23 2017-09-20 日本新工芯技株式会社 電極用リング
KR102109832B1 (ko) * 2017-02-23 2020-05-12 주식회사 엘지화학 이차전지용 플라즈마 발생장치 및 그를 포함하는 라미네이션 시스템
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6270191B1 (ja) * 2017-05-17 2018-01-31 日本新工芯技株式会社 保護材用リング
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
GB201709446D0 (en) 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102538177B1 (ko) * 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020027919A1 (en) * 2018-07-30 2020-02-06 Nordson Corporation Systems for workpiece processing with plasma
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11408734B2 (en) * 2019-01-03 2022-08-09 Lam Research Corporation Distance measurement between gas distribution device and substrate support at high temperatures
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11358168B2 (en) * 2019-06-18 2022-06-14 Visera Technologies Company Limited Coating apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN114258436A (zh) * 2019-08-16 2022-03-29 朗姆研究公司 空间可调沉积以在晶片差异弯曲中进行补偿
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110867365B (zh) * 2019-11-04 2022-05-27 北京北方华创微电子装备有限公司 等离子体系统
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7326119B2 (ja) 2019-11-07 2023-08-15 株式会社アルバック 基板ステージ及び真空処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
WO2021194780A1 (en) * 2020-03-27 2021-09-30 Corning Incorporated Substrate holder for use with interferometer
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN111508887B (zh) * 2020-04-16 2023-10-13 北京北方华创微电子装备有限公司 半导体制造设备及其保护环
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法
KR102396431B1 (ko) * 2020-08-14 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TWI746222B (zh) * 2020-10-21 2021-11-11 財團法人工業技術研究院 鍍膜設備
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems
KR102555016B1 (ko) * 2023-02-13 2023-07-17 주식회사 기가레인 플라즈마 식각 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPH05144776A (ja) * 1991-11-19 1993-06-11 Oki Electric Ind Co Ltd ドライプロセス装置
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3527080B2 (ja) * 1997-12-26 2004-05-17 三菱マテリアル株式会社 板状部材収納容器
JP2000077392A (ja) * 1998-09-01 2000-03-14 Matsushita Electric Ind Co Ltd 真空処理装置
JP2000183033A (ja) * 1998-12-15 2000-06-30 Nec Yamaguchi Ltd 半導体製造方法及び半導体製造装置
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
JP2003124167A (ja) * 2001-10-10 2003-04-25 Sumitomo Heavy Ind Ltd ウエハ支持部材及びこれを用いる両頭研削装置
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
TWI272877B (en) * 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
JP2004172243A (ja) * 2002-11-19 2004-06-17 Nec Kansai Ltd ドライエッチング装置
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
JP4122004B2 (ja) * 2003-05-12 2008-07-23 株式会社ソスル プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステ厶
KR100556532B1 (ko) * 2003-12-04 2006-03-06 삼성전자주식회사 플라즈마 식각 장치
KR100549273B1 (ko) * 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
KR20060110555A (ko) * 2005-04-20 2006-10-25 삼성전자주식회사 에지링을 갖는 급속열처리장치
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP2007242858A (ja) * 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
JP5349341B2 (ja) * 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2007-242858A 2007.09.20

Also Published As

Publication number Publication date
JP2013232670A (ja) 2013-11-14
CN101919041A (zh) 2010-12-15
WO2009091189A2 (en) 2009-07-23
US20110049100A1 (en) 2011-03-03
JP5617109B2 (ja) 2014-11-05
JP5548841B2 (ja) 2014-07-16
JP2011510498A (ja) 2011-03-31
US20140332498A1 (en) 2014-11-13
WO2009091189A3 (en) 2009-10-22

Similar Documents

Publication Publication Date Title
CN101919041B (zh) 衬底固持器,衬底支撑设备,衬底处理设备以及使用所述衬底处理设备的衬底处理方法
KR100777467B1 (ko) 기판의 가장 자리 및 후면을 동시 식각하기 위한 플라즈마식각 장치 및 이를 위한 기판 리프팅 장치
KR20090105530A (ko) 플라즈마 처리 장치
KR20080053167A (ko) 플라즈마 처리 장치
WO2009054696A1 (en) Baffle, substrate supporting apparatus and plasma processing apparatus and plasma processing method
KR101318704B1 (ko) 기판 지지장치, 이를 구비하는 플라즈마 처리장치 및플라즈마 처리방법
KR100777466B1 (ko) 피처리 기판의 가장 자리 및 후면을 동시 식각하기 위한플라즈마 식각 장치 및 이를 위한 기판 리프팅 장치
KR100686285B1 (ko) 플라즈마 처리 장치 및 배기 판
KR20150050305A (ko) 플라즈마 처리 장치
KR101277503B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR101015389B1 (ko) 기판 처리 장치
JP5613837B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN104733367A (zh) 起模销组合件及具有起模销组合件的衬底处理设备
KR100205098B1 (ko) 플라즈마 식각 장치
KR20070011904A (ko) 리프트 핀 어셈블리 및 플라즈마 처리 장치
KR102444873B1 (ko) 기판처리장치 및 이를 이용한 기판처리방법
KR20080026340A (ko) 배플 플레이트를 구비한 플라즈마 처리 장치
KR101421644B1 (ko) 기판 지지장치 및 이를 구비하는 기판 처리장치
CN112563110A (zh) 等离子体处理装置
KR20100083611A (ko) 보트 및 그 보트를 포함하는 반도체 증착 장치 및 방법
KR100774497B1 (ko) 기판을 처리하는 장치 및 방법
KR101433863B1 (ko) 박막처리장치
KR102098071B1 (ko) 가스분사유닛 및 이를 구비하는 기판처리장치
KR101234596B1 (ko) 배플, 기판 처리 장치 및 그 처리 방법
KR20100013148A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130327

Termination date: 20170115