US20110049100A1 - Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same - Google Patents

Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same Download PDF

Info

Publication number
US20110049100A1
US20110049100A1 US12/863,388 US86338809A US2011049100A1 US 20110049100 A1 US20110049100 A1 US 20110049100A1 US 86338809 A US86338809 A US 86338809A US 2011049100 A1 US2011049100 A1 US 2011049100A1
Authority
US
United States
Prior art keywords
substrate
electrode
substrate holder
stage
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/863,388
Other languages
English (en)
Inventor
Young Ki Han
Young Soo Seo
Hyoung Won Kim
Chi Kug Yoon
Sang Hoon Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Charm Engineering Co Ltd
Original Assignee
Charm Engineering Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020080004871A external-priority patent/KR101318704B1/ko
Priority claimed from KR1020080004870A external-priority patent/KR101421644B1/ko
Priority claimed from KR1020080009463A external-priority patent/KR101312283B1/ko
Priority claimed from KR20080011600A external-priority patent/KR101507937B1/ko
Application filed by Charm Engineering Co Ltd filed Critical Charm Engineering Co Ltd
Assigned to CHARM ENGINEERING CO., LTD reassignment CHARM ENGINEERING CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAN, YOUNG KI, KIM, HYOUNG WON, LEE, SANG HOON, SEO, YOUNG SOO, YOON, CHI KUG
Publication of US20110049100A1 publication Critical patent/US20110049100A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Definitions

  • the present disclosure relates to a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method, and more particularly, to a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method that are adapted to improve process efficiency and etch uniformity at the back surface of a substrate.
  • semiconductor apparatuses and flat display apparatuses are manufactured by depositing a plurality of thin layers on the front surface of a substrate and etching the thin layers to form devices having predetermined patterns on the substrate. That is, a thin layer is deposited on the front surface of a substrate by using a deposition apparatus, and then portions of the thin layer are etched into a predetermined pattern by using an etching apparatus.
  • a dry cleaning method is widely used for repeatedly cleaning the thin layers and particles deposited on the back surface of the substrate to remove the thin layers and particles, and then a subsequent process is performed on the substrate, so as to increase the yield of a semiconductor device manufacturing process.
  • a substrate such as a semiconductor wafer is placed between a shield member and a lower electrode that are arranged in a closed chamber to face each other with a predetermined gap therebetween.
  • the substrate is lifted to a process position, and the lower electrode is lifted to adjust the gap (plasma gap) between the shield member and the lower electrode.
  • the shield member is provided with an upper electrode disposed at a position facing the lower electrode and is used as a gas distribution plate for injecting gas toward the substrate.
  • the chamber is evacuated to a high vacuum state, and then reaction gas is introduced into the chamber.
  • the introduced gas is excited into a plasma state by applying high-frequency power across the shield member and the lower electrode, and unnecessary foreign substances are removed from the back surface of the substrate using the plasma-state gas.
  • the substrate carried into the chamber is processed in a state where the substrate is supported on a substrate supporting apparatus provided in the chamber at a process position located between the shield member and the lower electrode.
  • reaction gas injected to the back surface of a substrate supported by the substrate supporting apparatus may leak or split due to the opened side of the substrate supporting apparatus. This reduces the etch uniformity of the back surface of the substrate.
  • a substrate holder used to place a substrate thereon and a lower electrode are actuated by separate driving units. Therefore, the structure of the substrate supporting apparatus is complex and it is difficult to use the inside space of the chamber. In addition, since the driving units are individually controlled for actuating the substrate holder and the lower electrode, the process efficiency is low.
  • the substrate holder is moved from the bottom surface of the chamber to a considerably high position by the driving unit, it is difficult to make the substrate parallel with the lower electrode and make the gap between the shield member and the substrate uniform.
  • the etch rate reduces at an edge portion of the substrate.
  • the conventional substrate holder should be entirely repaired or replaced although the substrate holder is partially broken during a substrate processing process, the maintenance costs of the substrate processing apparatus are high, and the time required for re-operating the substrate processing apparatus is long due to a time necessary for preparing a new substrate holder.
  • the present disclosure provides a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method.
  • the substrate holder is simple and partially replaced with a new part. Furthermore, leakage of plasma generated at the back surface of a substrate is prevented, and plasma staying time is constantly kept by using a substrate supporting apparatus including the substrate holder, so as to clean the back surface of the substrate effectively and improve the process efficiency. Furthermore, gas injected through a shield member is uniformly distributed across the substrate to improve the etch uniformity at the edge portion of the substrate.
  • a substrate holder includes: a ring-shaped stage configured to receive an edge portion of a substrate thereon; a sidewall connected to a lower surface of the stage for supporting the lower surface of the stage; and an exhaust hole formed in the sidewall.
  • a substrate supporting apparatus includes: an electrode unit; a buffer member disposed at an outer circumference of the electrode unit; a substrate holder disposed on the buffer member for spacing a substrate apart from the electrode unit by supporting an edge portion of the substrate; and an elevating member configured to move the electrode unit and the substrate holder upward and downward.
  • a substrate processing apparatus includes: a chamber; a shield member disposed in the chamber; an electrode facing the shield member; and a substrate holder disposed between the shield member and the electrode, wherein the substrate holder includes: a ring-shaped stage configured to receive an edge portion of a substrate thereon; a sidewall connected to a lower surface of the stage for supporting the lower surface of the stage; and an exhaust hole formed in the sidewall.
  • a substrate processing apparatus includes: a chamber; a shield member disposed in the chamber; an electrode unit facing the shield member; a substrate holder disposed between the shield member and the electrode for supporting an edge portion of a substrate; a buffer member connecting the electrode unit and the substrate holder; and an elevating member connected to a lower portion of the electrode unit, wherein the substrate holder includes: a ring-shaped stage configured to receive the edge portion of the substrate thereon; a sidewall connected to a lower surface of the stage for supporting the lower surface of the stage; and an exhaust hole formed in the sidewall.
  • a substrate processing apparatus includes: a gas distribution plate configured to uniformly distribute reaction gas supplied from an outer source; a hard stopper protruding downward from a lower edge portion of the gas distribution plate; a lower electrode configured to interact with an upper electrode to form an electric field for exciting reaction gas supplied through the gas distribution plate into a plasma state; and a side baffle vertically protruding from an edge portion of the lower electrode for uniformly exhausting plasma reaction gas therethrough in a lateral direction and making contact with the hard stopper when the lower electrode is lifted to limit the lifting of the lower electrode.
  • a substrate processing method includes: carrying a substrate into a chamber; loading the substrate onto a substrate holder; simultaneously lifting the substrate holder and an electrode unit disposed under the substrate holder; processing the substrate; and carrying the substrate out of the chamber.
  • plasma can be uniformly generated at the back surface of a substrate to improve the etch uniformity across the back surface of the substrate.
  • leakage of reaction gas injected toward a substrate placed in the chamber is prevented by using the substrate holder having variously shaped and sized exhaust holes at its sidewall, so that plasma generated between the substrate and the electrode can be stayed for a constant time, and reaction gas can flow smoothly for uniform distribution across the back surface of the substrate.
  • the substrate holder may have a divided structure, and in this case, the substrate holder can be partially re-machined or replaced without having to re-machine or replace the substrate holder wholly when the substrate holder is broken. Therefore, maintenance machining can be easily performed, and maintenance costs can be reduced.
  • the substrate supporting apparatus can be configured so that the electrode unit and the substrate holder can be simultaneously lifted by the elevating member.
  • the substrate supporting apparatus can have a simple structure, and space can be efficiently used.
  • the substrate holder of the substrate supporting apparatus is lifted by the elevating member connected to the electrode unit, the horizontal position of a substrate placed on the substrate holder can be easily maintained.
  • the substrate processing apparatus includes the substrate supporting apparatus configured to lift the electrode unit and the substrate holder using a single elevating member, the substrate processing apparatus can be easily controlled, and the process efficiency can be improved.
  • the shield member of the substrate processing apparatus can be spaced apart from a substrate by a uniform gap, the substrate can be uniformly etched.
  • the plasma gas can stay at the edge portion of a substrate for a longer time, and thus the edge portion of the substrate can be uniformly etched. Therefore, process errors and manufacturing costs can be reduced.
  • FIG. 1 is a cross-sectional view illustrating a substrate processing apparatus in accordance with an exemplary embodiment
  • FIG. 2 is a cross-sectional view illustrating a substrate processing apparatus in accordance with another exemplary embodiment
  • FIG. 3 is a schematic view illustrating a substrate processing apparatus in accordance with another exemplary embodiment
  • FIG. 4 is a block diagram illustrating electric connections of the substrate processing apparatus of FIG. 3 ;
  • FIG. 5 is a perspective view illustrating a substrate holder in accordance with an exemplary embodiment
  • FIG. 6 is a perspective view illustrating a modification version of the substrate holder of FIG. 5 ;
  • FIG. 7 is a perspective view illustrating a substrate holder in accordance with another exemplary embodiment
  • FIG. 8 is a perspective view illustrating a modification version of the substrate holder of FIG. 7 ;
  • FIG. 9 is a perspective view illustrating a substrate holder in accordance with another exemplary embodiment.
  • FIG. 10 is a perspective view illustrating a substrate holder in accordance with another exemplary embodiment
  • FIG. 11 is a perspective view illustrating a substrate holder in accordance with another exemplary embodiment
  • FIG. 12 is an exploded perspective view illustrating the substrate holder of FIG. 5 when the substrate holder is divided in a circumferential direction;
  • FIG. 13 is a perspective view illustrating an assembled state of the divided substrate holder of FIG. 12 ;
  • FIG. 14 is a perspective view illustrating an assembled state of the substrate holder of FIG. 7 when the substrate holder has a divided structure
  • FIG. 15 is an exploded perspective view illustrating a substrate holder in accordance with another exemplary embodiment
  • FIG. 16 is a cross sectional view illustrating the substrate holder of FIG. 15 ;
  • FIG. 17 is an exploded perspective view illustrating the vertically divided substrate holder of FIG. 15 after re-dividing the substrate holder in a circumferential direction;
  • FIG. 18 is a view illustrating a modification version of exhaust holes of a substrate holder in accordance with an exemplary embodiment
  • FIG. 19 is a view illustrating a substrate supporting apparatus in accordance with an exemplary embodiment
  • FIG. 20 is a view illustrating an operational state of the substrate processing apparatus of FIG. 1 ;
  • FIGS. 21 and 22 are views illustrating operational states of the substrate processing apparatus of FIG. 2 ;
  • FIG. 23 is a flowchart for explaining a substrate processing method using the substrate processing apparatus of FIG. 2 , in accordance with an exemplary embodiment.
  • FIG. 1 is a cross-sectional view illustrating a substrate processing apparatus in accordance with an exemplary embodiment
  • FIG. 2 is a cross-sectional view illustrating a substrate processing apparatus in accordance with another exemplary embodiment.
  • the substrate processing apparatus of an embodiment includes a chamber 100 , a shield member 200 provided at an upper region of the chamber 100 , a gas injection unit 300 disposed at a side opposite to the shield member 200 , and a substrate holder 400 disposed between the shield member 200 and the gas injection unit 300 for supporting a substrate (S).
  • the substrate processing apparatus of another embodiment includes a chamber 100 , a shield member 200 provided at an upper region of the chamber 100 , and a substrate supporting apparatus 1000 disposed at a position opposite to the shield member 200 .
  • Each of the chambers 100 of the substrate processing apparatuses of FIGS. 1 and 2 may have a cylindrical or rectangular box shape, and a space is formed in the chamber 100 for processing a substrate (S).
  • the shape of the chamber 100 is not limited to a cylindrical or rectangular box shape; that is, the chamber 100 can have any other shapes corresponding to the shape of the substrate (S).
  • a substrate gate 110 is formed in a sidewall of the chamber 100 for carrying the substrate (S) into and out of the chamber 100 , and an exhaust part 120 is provided at the bottom surface of the chamber 100 for discharging reaction byproducts such as particles generated during an etch process to the outside of the chamber 100 .
  • An exhaust unit 130 such as a vacuum pump is connected to the exhaust part 120 for discharging contaminants from the inside of the chamber 100 .
  • the illustrated chamber 100 is a one-piece chamber; however, the chamber 100 can be configured by a lower chamber having an opened top side and a chamber lid used to cover the opened top side of the lower chamber.
  • Each of the shield members 200 has a circular plate shape and is disposed at an upper inner surface of the chamber 100 .
  • the shield member 200 prevents generation of plasma on the front surface of the substrate (S) disposed under the shield member 200 and spaced apart from the shield member 200 by several millimeters, for example, 0.5 millimeters.
  • a recess may be formed in the bottom surface of the shield member 200 .
  • the recess has a shape corresponding to the shape of the substrate (S) so that the front and lateral surfaces of the substrate (S) can be spaced apart from the bottom surface of the shield member 200 , and the recess is formed to be lager than the substrate (S) for spacing the shield member 200 from the substrate (S) by a predetermined distance.
  • a protrusion 202 may be formed on a center portion of the bottom surface of the shield member 200 .
  • the protrusion 202 may have a shape corresponding to the shape of the substrate (S) to place the front surface of the substrate (S) at a predetermined distance from the protrusion 202 , and the protrusion 202 may be slightly larger than the substrate (S).
  • Cylindrical hard stoppers 210 are protruded from a portion of the bottom surface of the shield member 200 where the protrusion 202 is not formed. The hard stoppers 210 are protruded downwardly, that is, in a direction toward the substrate supporting apparatus 1000 .
  • the lower ends of the hard stoppers 210 are lower than the horizontal bottom surface of the protrusion 202 formed on the bottom surface of the shield member 200 . That is, when the substrate holder 400 is lifted, the hard stoppers 210 make contact with an upper portion of the substrate holder 400 so that the substrate (S) supported on the substrate holder 400 can be precisely spaced a predetermined distance apart from the bottom surface of the protrusion 202 formed on the bottom surface of the shield member 200 .
  • the protrusion 202 may have a circular ring shape to form a closed curve at the bottom surface of the shield member 200 , or the protrusion 202 may have a divided ring shape.
  • a ground voltage is applied to the shield member 200 , and a cooling member (not shown) may be disposed inside the shield member 200 to adjust the temperature of the shield member 200 .
  • the cooling member may protect the shield member 200 from plasma by keeping the shield member 200 lower than a predetermined temperature.
  • a gas supply unit (not shown) may be connected to the shield member 200 to supply non-reaction gas to the front surface of the substrate (S).
  • a plurality of injection holes (not shown) may be formed through the bottom surface of the shield member 200 for injecting non-reaction gas supplied from the gas supply unit to the front surface of the substrate (S).
  • the gas injection unit 300 is disposed to face the shield member 200 .
  • the gas injection unit 300 includes an electrode 310 , an elevating member 320 configured to raise and lower the electrode 310 , a high-frequency power supply 340 configured to supply power to the electrode 310 , and a gas supply unit 330 connected to the electrode 310 to supply reaction gas to the electrode 310 .
  • the substrate processing apparatus of FIG. 2 further includes an insulating plate 314 disposed at a lower side of an electrode 310 for supporting the electrode 310 .
  • the electrode 310 may have a circular plate shape corresponding to the substrate (S).
  • a plurality of injection holes 312 are formed through the top surface of the electrode 310 to inject reaction gas to the back surface of the substrate (S), and the gas supply unit 330 is connected to the injection holes 312 through the bottom side of the electrode 310 for supplying reaction gas to the injection holes 312 .
  • the elevating member 320 is connected to the bottom side of the electrode 310 for raising and lowering the electrode 310 .
  • the injection holes 312 formed through the top surface of the electrode 310 may have a shape such as a circular shape and a polygonal shape.
  • the high-frequency power supply 340 is disposed under the electrode 310 for supplying high-frequency power to the electrode 310 . Therefore, high-frequency power can be applied to reaction gas supplied into the chamber 100 through the electrode 310 so as to activate the reaction gas into a plasma state.
  • Lift pins 350 may be disposed in the chamber 100 in a direction perpendicular to the substrate (S). In the chamber 100 , the lift pins 350 are fixed to a lower position and extend vertically through the electrode 310 so that the lift pins 350 protrude from the top surface of the electrode 310 .
  • the substrate (S) introduced into the chamber 100 is placed on the lift pins 350 , and the number of the lift pins 350 may be at least three to support the substrate (S) stably.
  • an external robot arm (not shown) carries a substrate (S) into the chamber 100 and moves the substrate (S) horizontally to a position above the lift pins 350 , and then the robot arm lowers the substrate (S) to place the substrate (S) on the top surfaces of the fixed lift pins 350 .
  • the lift pins 350 can be movably disposed inside the chamber 100 .
  • the substrate holder 400 is used to support the edge portion of the substrate (S) placed on the lift pins 350 and move the substrate (S) to a process position.
  • the substrate holder 400 is disposed in the chamber 100 between the shield member 200 and the gas injection unit 300 and configured to support the entire edge portion of the back surface of the substrate (S) placed on the lift pins 350 and move the substrate (S) to the process position.
  • a driving unit 500 is disposed under the chamber 100 and connected to the bottom side of the substrate holder 400 for raising the substrate (S) placed on the lift pins 350 by actuating the substrate holder 400 .
  • the substrate holder 400 is connected to an electrode unit 390 through a buffer member 600 , and an elevating member 320 is connected to the bottom side of the electrode unit 390 , so as to raise the substrate (S) place on the lift pins 350 .
  • FIG. 3 is a schematic view illustrating a substrate processing apparatus in accordance with another exemplary embodiment
  • FIG. 4 is a block diagram illustrating electric connections of the substrate processing apparatus of FIG. 3 .
  • the substrate processing apparatus of the current embodiment includes: a gas distribution plate 200 a configured to uniformly distribute reaction gas supplied from an outside gas source; hard stoppers 210 protruded downward from the edge portion of the bottom surface of the gas distribution plate 200 a ; a lower electrode 310 a configured to form an electric field together with an upper electrode so as to activate reaction gas supplied through the gas distribution plate 200 a into a plasma state; a side baffle 490 protruded vertically from the edge portion of the lower electrode 310 a to discharge plasma reaction gas uniformly in a lateral direction and make contact with the hard stoppers 210 when the lower electrode 310 a is lifted so as to limit the upward movement of the lower electrode 310 a ; a lift pin driving unit 355 configured to raise and lift pins 350 inserted through the lower electrode 310 a ; a driving unit 500 coupled to shafts 510 connected to the bottom side of the lower electrode 310 a for moving the lower electrode 310 a upward and downward; optical sensors 700 configured
  • the control unit 800 is electrically connected to: the optical sensors 700 configured to detect the gap between the gas distribution plate 200 a and the substrate (S) by emitting laser beams through the penetration holes 206 a , 206 b , and 206 c formed through the gas distribution plate 200 a ; contact switches 212 embedded in the hard stoppers 210 and configured to be turned on when the side baffle 490 is brought into contact with the hard stoppers 210 by lifting the lower electrode 310 a ; the lift pin driving unit 355 configured to raise and lower the lift pins 350 ; and the driving unit 500 configured to raise and lower the lower electrode 310 a.
  • the substrate processing apparatus of the current embodiment is different from the substrate processing apparatus of FIG. 1 or FIG. 2 , in that reaction gas is injected through the gas distribution plate 200 a , and the optical sensors 700 and the control unit 800 are provided to detect a gap between the gas distribution plate 200 a and the substrate (S).
  • the side baffle 490 is provided in a chamber 100 instead of the substrate holder 400 , and the lift pins 350 is configured to be movable upward and downward in the chamber 100 . It is apparent that the optical sensors 700 and the control unit 800 used in the substrate processing apparatus of the current embodiment can also be used in the substrate processing apparatus of FIG. 1 or FIG. 2 .
  • the gas distribution plate 200 a is disposed at an upper region of the chamber 100 to uniformly diffuse reaction gas supplied from an outside reaction gas source for performing a dry etch process in the chamber 100 by using plasma-state etch reaction gas.
  • the penetration holes 206 a , 206 b , and 206 c are formed through the gas distribution plate 200 a , and the optical sensors 700 are arranged at regular intervals at the penetration holes 206 a , 206 b , and 206 c .
  • the number of the penetration holes 206 a , 206 b , and 206 c is three, and the penetration holes 206 a , 206 b , and 206 c are arranged on a circular arc at regular intervals.
  • the gas distribution plate 200 a may also function as an upper electrode.
  • Non-reaction gas is injected through a center portion of the gas distribution plate 200 a , and reaction gas is injected through an edge portion of the gas distribution plate 200 a .
  • the lower electrode 310 a is disposed at a lower position inside the chamber 100 , and the substrate (S) is placed above the lower electrode 310 a .
  • the electrode 310 is installed to place the substrate (S), and at an upper inner position of the chamber 100 , an upper electrode (not shown) is installed at the gas distribution plate 200 a which is spaced a predetermined distance from the lower electrode 310 a .
  • a plurality of etch gas supply holes are formed through the upper electrode so that etch gas can be supplied into the chamber 100 through the etch gas supply holes.
  • the side baffle 490 is disposed at an edge portion of the lower electrode 310 a so that plasma reaction gas can be discharged through the side baffle 490 .
  • the lower electrode 310 a is connected to a high-frequency power supply 340
  • the upper electrode is connected to another high-frequency power supply (not shown).
  • the driving unit 500 is operated to lift the lower electrode 310 a .
  • the lower electrode 310 a is lifted until the side baffle 490 makes contact with the hard stoppers 210 disposed at the edge portion of the gas distribution plate 200 a .
  • the three optical sensors 700 emit laser beams toward the substrate (S) placed at the lower electrode 310 a through the penetration holes 206 a , 206 b , and 206 c formed through the gas distribution plate 200 a so as to detect the distance between the gas distribution plate 200 a and the substrate (S) by measuring the intensity of reflected laser beams.
  • the three optical sensors 700 send the detection results to the control unit 800 .
  • the control unit 800 receives distance-sensing signals from the three optical sensors 700 and calculates the distance between the gas distribution plate 200 a and the substrate (S), and if the calculated distance is larger than a predetermined value, the control unit 800 generates an interlock signal (error signal). If the side baffle 490 makes contact with the hard stoppers 210 as the lower electrode 310 a is lifted, the contact switches 212 disposed inside the hard stoppers 210 are switched on. Then, the control unit 800 controls the driving unit 500 to stop the lower electrode 310 a . In this way, the distance between the gas distribution plate 200 a and the substrate (S) can be constantly adjusted each time so that the edge portion of the substrate (S) can be uniformly etched.
  • control unit 800 may generate an interlock signal if the control unit 800 determines from sensing signals received from the optical sensors 700 that the substrate (S) is not horizontally placed at the lower electrode 310 a.
  • reaction gas is supplied to the inside of the chamber 100 through the etch gas supply holes for performing an etch process.
  • High-frequency power is applied to the electrode 310 from the high-frequency power supply 340 , and the upper electrode is connected to a ground voltage level.
  • an electric field is formed between the lower electrode 310 a the upper electrode, and free electrons are emitted from the lower electrode 310 a.
  • the free electrons emitted from the lower electrode 310 a are accelerated by energy received from the electric field, and while the accelerated free electrons pass through the reaction gas, the free electrons collide with the reaction gas so that energy can be transferred to the substrate (S).
  • positive ions, negative ions, and atomic groups coexist in the chamber 100 (a plasma state). In the plasma state, positive ions collide with the substrate (S) disposed above the lower electrode 310 a so that a predetermined region of the substrate (S) can be etched.
  • plasma is non-uniformly generated in a chamber, and thus ion density at the edge portion of a substrate is also not uniform.
  • the plasma reaction gas since plasma reaction gas is discharged through the side baffle 490 disposed at the edge portion of the lower electrode 310 a , the plasma reaction gas can stay at the edge portion of the substrate (S) more uniformly for a loner time, and thus the ion density at the edge portion of the substrate (S) can be uniformly maintained to prevent etch errors.
  • the substrate holder 400 includes a stage 410 configured to place a substrate (S) thereon, and a sidewall 420 provided at a lower side of the stage 410 .
  • the stage 410 has a ring shape with opened top and bottom sides, and almost the entire edge portion of the back surface of the substrate (S) can be placed on the top surface of the stage 410 .
  • the stage 410 has a circular ring shape; however, the stage 410 can have any other shape according to the shape of the substrate (S).
  • the sidewall 420 has a cylindrical shape with a vertical penetration opening at its center portion, and the top surface of the sidewall 420 is coupled to the bottom surface of the stage 410 .
  • the sidewall 420 may be coupled to the stage 410 using an additional coupling member or an adhesive member.
  • a plurality of radial exhaust holes 422 are formed through the sidewall 420 , so that reaction gas can be discharged away from the electrode 310 (refer to FIG. 1 ) through the exhaust holes 422 of the sidewall 420 .
  • the exhaust holes 422 may have a circular or polygonal shape, or some of the exhaust holes 422 may have a circular shape and the other may have a polygonal shape.
  • a supporting part 430 may protrude outward from a bottom surface portion of the sidewall 420 . In this case, the top surface of the driving unit 500 (refer to FIG.
  • stage 410 and the sidewall 420 are separate parts; however, the stage 410 and the sidewall 420 can be formed in one piece.
  • the substrate holder 400 may further include the supporting part 430 protruding outward from the lower bottom surface portion of the sidewall 420 .
  • the supporting part 430 may be connected to the driving unit 500 that is inserted through the bottom side of the chamber 100 .
  • the supporting part 430 may be connected to the buffer member 600 connected between the substrate holder 400 and the insulating plate 314 .
  • a modified version of the substrate holder 400 of FIG. 5 is illustrated.
  • a plurality of recesses 412 may be formed in the top surface of the stage 410 .
  • the recesses 412 may be engaged with the hard stoppers 210 (refer to FIG. 2 ) formed on the bottom surface of the shield member 200 (refer to FIG. 2 ).
  • the recesses 412 formed in the modification version of the substrate holder 400 are optional structures.
  • the substrate holder 400 includes a ring-shaped stage 410 , a protrusion 412 formed on the inner circumference of the stage 410 , and a sidewall 420 coupled to the bottom surface of the stage 410 and including a plurality of exhaust holes 422 .
  • the protrusion 412 extends along the inner circumference of the stage 410 .
  • the top surfaces of the protrusion 412 and the stage 410 may have different heights, and the protrusion 412 may extend along the inner circumference of the stage 410 to form a closed curve.
  • almost the entire edge portion of the back surface of a substrate (S) may be placed on the top surface of the protrusion 412 formed along the inner circumference of the stage 410 , and the lateral surface of the substrate (S) may be spaced apart from the inner circumference of the stage 410 .
  • the protrusion 412 may be discretely formed along the inner circumference of the stage 410 as shown in FIG. 7( b ). In this case, when a substrate (S) is placed on the protrusion 412 , the back surface of the substrate (S) may make partial or point contact with the top surfaces of the discrete parts of the protrusion 412 .
  • a modified version of the substrate holder 400 of FIG. 7 is illustrated.
  • a plurality of recesses 412 may be formed in the top surface of the stage 410 for engaging with the hard stoppers 210 (refer to FIG. 2 ) formed on the bottom surface of the shield member 200 (refer to FIG. 2 ).
  • the substrate holder 400 includes a ring-shaped stage 410 , a protrusion 412 formed on the top surface of the stage 410 , and a sidewall 420 coupled to the bottom surface of the stage 410 and including a plurality of exhaust holes 422 .
  • the protrusion 412 extends upward from the top surface of the stage 410 for receiving a substrate (S) thereon.
  • the protrusion 412 may be formed on the top surface of the stage 410 to form a closed curve as shown in FIG. 9( a ), or the protrusion 412 may be discretely formed on the top surface of the stage 410 as shown in FIG. 9( b ). Referring to FIG.
  • the substrate (S) may be placed on the top surface of the protrusion 412 ; however, the present invention is not limited thereto.
  • the substrate (S) may be placed inside the protrusion 412 so that the lateral surface of the substrate (S) may face the inner lateral surface of the protrusion 412 .
  • a substrate (S) can be stably placed at the stage 410 by disposing the substrate (S) on the top surface of protrusion 412 or inside the protrusion 412 as shown in FIGS. 7 through 9 .
  • the substrate holder 400 includes a ring-shaped stage 410 and a sloped sidewall 420 provided at a lower side of the stage 410 .
  • the sidewall 420 has a cylindrical shape with a vertical penetration opening, and the top surface of the sidewall 420 is coupled to the bottom surface of the stage 410 .
  • a plurality of exhaust holes 422 are formed through the sidewall 420 .
  • the exhaust holes 422 may have various shapes. As shown in FIG. 10( a ), the sidewall 420 may be sloped downwardly and outwardly from the stage 410 so that the sidewall 420 may have a downwardly increasing diameter, or as shown in FIG. 10( b ), the sidewall 420 may be sloped downwardly and inwardly from the stage 410 so that the sidewall 420 may have a downwardly decreasing diameter.
  • the sidewall 420 of the substrate holder 400 is sloped so that reaction gas injected toward the back surface of a substrate (S) placed on the top surface of the stage 410 can be smoothly guided to the back surface of the substrate (S) without stagnating at the inner surface of the sidewall 420 . Therefore, the reaction gas can be uniformly distributed across the back surface of the substrate (S). In addition, since plasma can be uniformly generated across the back surface of the substrate (S) owing to the uniform distribution of the reaction gas, the back surface of the substrate (S) can be uniformly etched.
  • the substrate holder 400 includes a plurality of stages 410 and a plurality of sidewalls 420 provided at lower sides of the stages 410 . Almost the entire edge portion of the back surface of a substrate (S) can be placed on the stages 410 .
  • the stages 410 are arranged in a ring shape and have opened top and bottom sides.
  • the sidewalls 420 are provided at the lower sides of the stages 410 , that is, the sidewalls 420 are coupled to corresponding stages 410 , respectively.
  • a plurality of exhaust holes 422 may be formed through the sidewalls 420 for discharging reaction gas injected toward the back surface of the substrate (S).
  • the exhaust holes 422 may be formed through at least of the sidewalls 420 .
  • the substrate holder 400 may be divided into two parts as shown in FIG. 11( a ) or three parts as shown in FIG. 11( b ). However, the present invention is not limited thereto. For example, the substrate holder 400 may be divided into four parts or more. By dividing the substrate holder 400 as explained above, the substrate holder 400 may be easily machined during a manufacturing process.
  • the substrate holders 400 of the previous embodiments illustrated in FIGS. 5 through 10 can be divided like the substrate holder 400 of the current embodiment.
  • circumferential coupling structures 450 may be provided for the divided parts of the substrate holder 400 as shown in FIGS. 12 through 17 .
  • FIGS. 12 and 13 are an exploded perspective view and an assembled perspective view illustrating the substrate holder of FIG. 5 when the substrate holder is divided into parts
  • FIG. 14 is a perspective view illustrating an assembled state of the substrate holder of FIG. 7 when the substrate holder has a divided structure.
  • sub parts 400 a , 400 b , 400 c , and 400 d of the divided substrate holder 400 include at least one circumferential coupling structure 450 .
  • the circumferential coupling structure 450 includes a coupling groove 451 and a coupling part 452 .
  • the coupling groove 451 is vertically formed in a side portion of one of the sub parts 400 a , 400 b , 400 c , and 400 d
  • the coupling part 452 is formed on a side portion of another of the sub parts 400 a , 400 b , 400 c , and 400 d adjacent to the coupling groove 451 .
  • the coupling part 452 has a shape corresponding to the shape of the coupling groove 451 . Stoppers 451 a are formed along both sides of the coupling groove 451 for holding both sides of the coupling part 452 and preventing lateral escaping of the coupling part 452 .
  • the coupling part 452 can be released from the coupling groove 451 by vertically sliding the coupling part 452 along the coupling groove 451 .
  • the coupling groove 451 and the coupling part 452 may have various shapes such as rectangular, polygonal, and circular shapes.
  • a pair of coupling grooves 451 or a pair of coupling parts 452 are formed at each of the sub parts 400 a , 400 b , 400 c , and 400 d of the substrate holder 400 .
  • a coupling groove 451 and a coupling part 452 may be formed at each of the sub parts 400 a , 400 b , 400 c , and 400 d of the substrate holder 400 .
  • a plurality of connection holes may be formed through the supporting part 430 for easily coupling the divided substrate holder 400 to the driving unit 500 (refer to FIG. 1 ) or the buffer member 600 (refer to FIG. 2 ).
  • FIGS. 15 and 16 are an exploded perspective view and a cross sectional view illustrating a substrate holder 400 in accordance with another exemplary embodiment.
  • the substrate holder 400 of the current embodiment is vertically divided into sub parts 400 e and 400 f , and at least one vertical coupling structure 470 is provided for coupling the sub parts 400 e and 400 f of the divided substrate holder 400 .
  • the vertical coupling structure 470 includes upper and lower jaws 471 and 472 formed at corresponding end portions of the sub parts 400 e and 400 f .
  • the upper jaw 471 may be laid on top of the lower jaw 472 and disposed inside the lower jaw 472 , or the upper jaw 471 may be laid on top of the lower jaw 472 and disposed around the lower jaw 472 . That is, the upper jaw 471 and the lower jaw 472 are coupled with each other as corresponding male-female joint parts.
  • the vertically corresponding upper and lower jaws 471 and 472 of the sub parts 400 e and 400 f may have other shapes as well as that shown in the current embodiment. As shown in FIG. 17 , the vertically divided substrate holder 400 of FIG. 15 can be re-divided in a circumferential direction.
  • the substrate holder 400 By dividing the substrate holder 400 as explained above, when the substrate holder 400 is broken, only a broken part of the substrate holder 400 can be re-machined or replaced without having to re-machine or replace the substrate holder 400 wholly. Therefore, maintenance machining can be easily and rapidly performed, and maintenance costs can be reduced.
  • the exhaust holes 422 formed in the substrate holder 400 of the above-described embodiments may have a slit-shape.
  • the slit-shaped exhaust holes 422 may be arranged along the circumference of the sidewalls 420 at regular intervals as shown in FIG. 18( a ), or the slit-shaped exhaust holes 422 may be arranged at regular intervals in a direction perpendicular to the circumferential direction of the sidewalls 420 as shown in FIG. 18( b ).
  • the shape and arrangement of the exhaust holes 422 formed in the sidewalls 420 can be different from those explained above.
  • reaction gas (plasma) injected toward the back surface of a substrate (S) can be exhausted more smoothly, and thus the back surface (particularly, the back surface edge portion) of the substrate (S) can be uniformly etched.
  • the buffer member 600 is provided between the electrode 310 and the insulating plate 314 so as to connect the substrate holder 400 to a side of the electrode 310 .
  • the buffer member 600 includes a body 610 , an elastic member 620 disposed inside the body 610 , and a holder support 630 disposed at an upper portion of the elastic member 620 .
  • the body 610 has a cylindrical or polyhedral shape with an opened top side, and a predetermined space is formed inside the body 610 .
  • the elastic member 620 is disposed in the predetermined space of the body 610 and is fixed to the inner bottom side of the body 610 .
  • the elastic member 620 may be a member such as a spring.
  • the holder support 630 is disposed at the upper portion of the elastic member 620 .
  • the holder support 630 is partially inserted in the body 610 and protruded upward from the body 610 .
  • the outer surface of the body 610 of the buffer member 600 is coupled to the outer surface of the insulating plate 314 , and an upper portion of the holder support 630 is coupled to a lower portion of the substrate holder 400 .
  • the buffer member 600 may be provided in plurality and spaced apart from the outer surface of the electrode 310 . In this case, the buffer members 600 may be coupled to the insulating plate 314 along the circumference of the insulating plate
  • the hard stoppers 210 formed on the bottom surface of the shield member 200 are engaged with the recesses 412 formed at the top surface of the substrate holder 400 so that the predetermined distance between the substrate (S) supported on the top surface of the substrate holder 400 and the shield member 200 can be stably maintained (in the case where the recesses 412 are not formed, the predetermined distance is stably maintained in a state where the bottom surfaces of the hard stoppers 210 make contact with the top surface of the substrate holder 400 ).
  • the elastic member 620 disposed inside the body 610 of the buffer member 600 is compressed. That is, only the electrode 310 is lifted in a state where the substrate holder 400 is fixed.
  • the insulating plate 314 coupled to the bottom side of the electrode 310 is also lifted.
  • the elevating member 320 is connected to the bottom side of the insulating plate 314 supporting the electrode 310 to lift both the electrode 310 and the substrate holder 400 .
  • a driving unit (not shown) such as a motor may be connected to the elevating member 320 for providing a driving force to the elevating member 320 .
  • a portion of a ring-shaped stage of a substrate holder is opened so as to prevent collision or interference between the stage and a robot arm when a substrate is carried into a chamber and placed on the stage by the robot arm. Therefore, the entire edge portion of the back surface of the substrate is not supported on the stage.
  • reaction gas injected toward the back surface of the substrate may leak through the opened portion of the stage, and plasma generated at the back surface of the substrate may also leak through the opened portion of the stage, or plasma discharge may be separated.
  • the etch uniformity decreases as it goes to the edge portion of the back surface of the substrate due to the unstable plasma at the back surface of the substrate.
  • a substrate carried into the chamber is first placed on the lift pins, and the stage of the substrate holder is constructed to have a ring shape forming a continuous closed curve. Therefore, almost the entire edge portion of the back surface of the substrate can be brought into contact with the top surface of the stage so as to prevent leakage of reaction gas injected toward the back surface of the substrate.
  • the substrate holder includes a sidewall and penetration holes formed through the sidewall, so that reaction gas injected toward the back surface of a substrate can be uniformly distributed for generating plasma uniformly. Therefore, owning to the uniform plasma at the back surface of the substrate, the back surface of the substrate can be uniformly etched.
  • the substrate supporting apparatus 1000 may be constructed as follows.
  • the substrate supporting apparatus 1000 includes an electrode unit 390 constituted by an electrode 310 and an insulating plate 314 , a substrate holder 400 disposed at an upper side of the electrode unit 390 , a buffer member 600 disposed between the electrode unit 390 and the substrate holder 400 to connect the electrode unit 390 and the substrate holder 400 , and an elevating member 320 connected to the bottom side of the electrode unit 390 for simultaneously moving the electrode unit 390 and the substrate holder 400 .
  • an electrode unit 390 constituted by an electrode 310 and an insulating plate 314
  • a buffer member 600 disposed between the electrode unit 390 and the substrate holder 400 to connect the electrode unit 390 and the substrate holder 400
  • an elevating member 320 connected to the bottom side of the electrode unit 390 for simultaneously moving the electrode unit 390 and the substrate holder 400 .
  • the electrode unit 390 includes the electrode 310 and the insulating plate 314 coupled to the bottom surface of the electrode 310 , and the substrate holder 400 is provided above the electrode unit 390 for supporting almost the entire edge portion of a substrate (S).
  • the buffer member 600 is disposed between the electrode unit 390 and the substrate holder 400 for connecting the electrode unit 390 and the substrate holder 400 .
  • a predetermined space is formed inside a body 610 of the buffer member 600 , and the top side of the predetermined space is opened.
  • an elastic member 620 is disposed, and a holder support 630 is disposed at an upper portion of the elastic member 620 .
  • the holder support 630 is coupled to a supporting part 430 of the substrate holder 400 .
  • the body 610 of the buffer member 600 is spaced apart from the outer surface of the electrode 310 and is connected to the outer surface of the electrode 310 through a connection part.
  • the buffer member 600 may be provided in plurality and arranged along the outer circumference of the electrode 310 at predetermined intervals.
  • the plurality of buffer members 600 may be coupled to the outer circumference of the electrode 310 individually or wholly.
  • the elevating member 320 is connected to the bottom side of the electrode unit 390 for simultaneously moving the electrode unit 390 and the substrate holder 400 .
  • the insulating plate 314 provided at the bottom side of the electrode 310 may be omitted.
  • the substrate holder 400 and the electrode 310 are moved by the driving unit 500 and the elevating member 320 that are individually controlled.
  • the buffer member 600 is provided to connect the substrate holder 400 to a side of the electrode unit 390 for simultaneously moving the electrode unit 390 and the substrate holder 400 , so that the substrate processing apparatus can have a simple structure, and a sufficient space can be formed in the chamber 100 .
  • a substrate (S) can be spaced apart from the electrode unit 390 uniformly, constantly, and horizontally.
  • the electrode unit 390 can be lifted in a state where the substrate holder 400 is fixed, so as to adjust the plasma gap between the electrode unit 390 and the shield member 200 more precisely and easily.
  • a substrate (S) is carried into the chamber 100 and placed on the top surfaces of the lift pins 350 by an external robot arm (not shown), the substrate holder 400 placed below the top surfaces of the lift pins 350 is lifted toward the shield member 200 .
  • the edge portion of the substrate (S) placed on the lift pins 350 is entirely placed on the substrate holder 400 (specifically, on the top surface of the stage 410 of the substrate holder 400 ) that forms a closed curve having a predetermined width, and after the substrate (S) is placed on the substrate holder 400 , the substrate holder 400 is further lifted until the substrate (S) is spaced a predetermined distance from the shield member 200 .
  • the predetermined distance between the substrate (S) and the shield member 200 may be about 0.5 mm or smaller to prevent generation of plasma at the front surface of the substrate (S).
  • the electrode 310 is lifted by the elevating member 320 connected to the electrode 310 until the electrode 310 is spaced apart from the shield member 200 by a predetermined gap suitable for generating high-density plasma.
  • reaction gas is injected from the gas supply unit 330 connected to the electrode 310 toward the back surface of the substrate (S) through the injection holes 312 formed through the electrode 310 , and the injected reaction gas is uniformly distributed across the back surface of the substrate (S). That is, the sidewall 420 of the substrate holder 400 confines the reaction gas injected toward the back surface of the substrate (S) within the back surface of the substrate (S) so as to prevent escaping of the reaction gas from the center portion of the back surface of the substrate (S), and the exhaust holes 422 formed through the sidewall 420 are used to uniformly discharge the reaction gas in all directions for uniformly distributing the reaction gas staying at the back surface of the substrate (S).
  • the substrate processing method includes: carrying a substrate into a chamber (operation S 10 ), loading the substrate on a substrate holder (operation S 20 ); simultaneously lifting the substrate holder and an electrode unit disposed under the substrate holder (operation S 30 ); lifting the electrode unit furthermore in a state where the substrate holder is fixed (operation S 40 ); processing the substrate (operation S 50 ); and carrying the substrate outward (operation S 60 ).
  • a pre-processed substrate (S) is horizontally carried into the chamber 100 by an external robot arm (not shown) disposed outside the chamber 100 .
  • the substrate (S) carried into the chamber 100 is moved above the top surfaces of the lift pins 350 disposed at lower positions inside the chamber 100 and is lowered to place the substrate (S) on the top surfaces of the lift pins 350 by the robot arm.
  • the substrate (S) is carried into the chamber 100 in operation S 10 .
  • the substrate holder 400 is placed at a wait position where the top surface of the substrate holder 400 is lower than the top surfaces of the lift pins 350 .
  • the electrode unit 390 and the substrate holder 400 connected to the electrode unit 390 are lifted toward the shield member 200 by the elevating member 320 connected to the electrode unit 390 , and while the electrode unit 390 and the substrate holder 400 are lifted, the substrate (S) placed on the top surfaces of the lift pins 350 is placed on the top surface of the substrate holder 400 . In this way, the substrate (S) is loaded on the substrate holder 400 in operation S 20 .
  • the substrate holder 400 on which almost the entire edge portion of the substrate (S) is placed is further lifted, and as shown in FIG. 21 , the hard stoppers 210 formed on the bottom surface of the shield member 200 are engaged with the recesses 412 formed in the top surface of the stage 410 of the substrate holder 400 , and the electrode unit 390 and the substrate holder 400 are stopped. In this way, the electrode unit 390 and the substrate holder 400 are simultaneously lifted in operation S 30 . Then, the front surface of the substrate (S) placed on the top side of the substrate holder 400 is spaced apart from the bottom surface of the protrusion 202 formed on the bottom surface of the shield member 200 by approximately 0.5 mm or less.
  • the electrode unit 390 is further lifted by the elevating member 320 connected to the bottom side of the electrode unit 390 so as to adjust the (plasma) gap between the electrode unit 390 and the shield member 200 .
  • the elastic member 620 disposed inside the body 610 of the buffer member 600 connected between the electrode unit 390 and the substrate holder 400 is compressed, and thus only the electrode unit 390 is lifted in a state where the substrate holder 400 connected to the electrode unit 390 is stopped by the hard stoppers 210 formed on the bottom side of the shield member 200 .
  • the electrode unit 390 is further lifted in a state where the substrate holder is fixed.
  • reaction gas is injected from the gas supply unit 330 connected to the electrode 310 toward the back surface of the substrate (S) through the injection holes 312 formed through the electrode 310 , and the injected reaction gas is uniformly distributed across the back surface of the substrate (S).
  • the exhaust holes 422 formed through the sidewall 420 of the substrate holder 400 are used to exhaust the injected reaction gas uniformly in almost all directions, so that the reaction gas injected toward the back surface of the substrate (S) can be uniformly distributed.
  • the elevating member 320 connected to the bottom side of the electrode unit 390 is moved downward, the compressed elastic member 620 returns to its original shape, and the electrode unit 390 and the substrate holder 400 are simultaneously moved downward.
  • the substrate holder 400 is moved downward, the substrate (S) placed on the top surface of the substrate holder 400 is placed on the top surfaces of the lift pins 350 , and then the electrode unit 390 and the substrate holder 400 are further lowered to their original positions where the top surface of the substrate holder 400 is lower than the top surfaces of the lift pins 350 .
  • the substrate (S) placed on the top surfaces of the lift pins 350 is carried to the outside of the chamber 100 by the external robot arm. In the way, the substrate (S) is carried to the outside of the chamber 100 in operation S 60 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
US12/863,388 2008-01-16 2009-01-15 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same Abandoned US20110049100A1 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
KR10-2008-0004871 2008-01-16
KR10-2008-0004870 2008-01-16
KR1020080004871A KR101318704B1 (ko) 2008-01-16 2008-01-16 기판 지지장치, 이를 구비하는 플라즈마 처리장치 및플라즈마 처리방법
KR1020080004870A KR101421644B1 (ko) 2008-01-16 2008-01-16 기판 지지장치 및 이를 구비하는 기판 처리장치
KR1020080009463A KR101312283B1 (ko) 2008-01-30 2008-01-30 웨이퍼 홀더
KR10-2008-0009463 2008-01-30
KR20080011600A KR101507937B1 (ko) 2008-02-05 2008-02-05 플라즈마 식각장치
KR10-2008-0011600 2008-02-05
PCT/KR2009/000211 WO2009091189A2 (en) 2008-01-16 2009-01-15 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2009/000211 A-371-Of-International WO2009091189A2 (en) 2008-01-16 2009-01-15 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/337,197 Division US20140332498A1 (en) 2008-01-16 2014-07-21 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Publications (1)

Publication Number Publication Date
US20110049100A1 true US20110049100A1 (en) 2011-03-03

Family

ID=40885799

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/863,388 Abandoned US20110049100A1 (en) 2008-01-16 2009-01-15 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
US14/337,197 Abandoned US20140332498A1 (en) 2008-01-16 2014-07-21 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/337,197 Abandoned US20140332498A1 (en) 2008-01-16 2014-07-21 Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same

Country Status (4)

Country Link
US (2) US20110049100A1 (zh)
JP (2) JP5548841B2 (zh)
CN (1) CN101919041B (zh)
WO (1) WO2009091189A2 (zh)

Cited By (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110290419A1 (en) * 2010-05-25 2011-12-01 Tokyo Electron Limited Plasma processing apparatus
US20120267048A1 (en) * 2011-04-25 2012-10-25 Tokyo Electron Limited Plasma processing apparatus
US20120273346A1 (en) * 2011-04-29 2012-11-01 Fih (Hong Kong) Limited Flow divider system
WO2013151703A1 (en) * 2012-04-06 2013-10-10 Applied Materials, Inc. Edge ring for a deposition chamber
US20140251207A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Substrate support with multi-piece sealing surface
US20140262036A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US20150179410A1 (en) * 2013-12-24 2015-06-25 Chengdu Tianma Micro-Electronics Co., Ltd. Dry etching device and electrode thereof
US20150259827A1 (en) * 2014-03-17 2015-09-17 Epistar Corporation Susceptor
US20160093473A1 (en) * 2014-09-30 2016-03-31 Semes Co., Ltd. Systems and methods of treating a substrate
KR20170016798A (ko) * 2015-08-04 2017-02-14 에이에스엠 아이피 홀딩 비.브이. 가변 갭 하드 스톱 설계
US9741537B1 (en) * 2016-02-19 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for supplying ion beam in ion implantation process
US9780122B2 (en) * 2015-04-01 2017-10-03 Shanghai Tianma Micro-electronics Co., Ltd. Array substrate, display panel and display device
US20180090344A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10147745B2 (en) 2015-04-01 2018-12-04 Shanghai Tianma Micro-electronics Co., Ltd. Array substrate, display panel and display device
CN109075107A (zh) * 2016-04-18 2018-12-21 库库创作股份有限公司 干式蚀刻装置
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190145001A1 (en) * 2017-11-16 2019-05-16 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US20190207243A1 (en) * 2017-02-23 2019-07-04 Lg Chem, Ltd. Plasma generating apparatus for secondary battery and lamination system comprising the same
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10453694B2 (en) * 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10449577B2 (en) * 2016-02-12 2019-10-22 SCREEN Holdings Co., Ltd. Substrate processing apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
CN110867365A (zh) * 2019-11-04 2020-03-06 北京北方华创微电子装备有限公司 等离子体系统
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10597795B2 (en) 2015-12-01 2020-03-24 Siltronic Ag Method for producing a semiconductor wafer with epitaxial layer in a deposition chamber, apparatus for producing a semiconductor wafer with epitaxial layer, and semiconductor wafer with epitaxial layer
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018045B2 (en) * 2017-11-16 2021-05-25 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US20210287884A1 (en) * 2018-07-30 2021-09-16 Nordson Corporation Systems for workpiece processing with plasma
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
TWI750463B (zh) * 2014-02-03 2021-12-21 奧地利商Ev集團E塔那有限公司 用於接合基板之方法及裝置
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11302558B2 (en) * 2020-08-14 2022-04-12 Psk Inc. Substrate processing apparatus and substrate transfer method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
CN114381716A (zh) * 2020-10-21 2022-04-22 财团法人工业技术研究院 镀膜设备
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11348764B2 (en) * 2017-02-23 2022-05-31 Thinkon New Technology Japan Corporation Electrode ring
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11358168B2 (en) * 2019-06-18 2022-06-14 Visera Technologies Company Limited Coating apparatus
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11441222B2 (en) * 2017-08-31 2022-09-13 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11545345B2 (en) * 2017-05-17 2023-01-03 Thinkon New Technology Japan Corporation Protective material ring
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
WO2012144673A1 (ko) * 2011-04-22 2012-10-26 주식회사 위너 반도체 소자 제조장치
KR101317644B1 (ko) * 2011-08-25 2013-10-15 주식회사 테스 플라즈마 처리장치 및 방법
JP5821039B2 (ja) * 2011-11-07 2015-11-24 パナソニックIpマネジメント株式会社 プラズマ処理装置
EP2854155B1 (en) * 2013-09-27 2017-11-08 INDEOtec SA Plasma reactor vessel and assembly, and a method of performing plasma processing
CN106164776B (zh) * 2014-04-09 2019-04-23 Asml荷兰有限公司 用于清洁对象的装置
CN105810546B (zh) * 2014-12-30 2017-10-13 中微半导体设备(上海)有限公司 一种介电参数连续可调的等离子处理器
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
CN105206495B (zh) * 2015-08-17 2018-08-07 深圳市华星光电技术有限公司 干式蚀刻装置及阵列基板干式蚀刻去除静电方法
CN105225914B (zh) * 2015-08-25 2018-01-23 沈阳拓荆科技有限公司 一种改善晶圆表面薄膜形貌的半导体等离子处理装置
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
WO2018119959A1 (zh) * 2016-12-29 2018-07-05 深圳市柔宇科技有限公司 干蚀刻设备
GB201709446D0 (en) 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11408734B2 (en) 2019-01-03 2022-08-09 Lam Research Corporation Distance measurement between gas distribution device and substrate support at high temperatures
JP7326119B2 (ja) 2019-11-07 2023-08-15 株式会社アルバック 基板ステージ及び真空処理装置
WO2021194780A1 (en) * 2020-03-27 2021-09-30 Corning Incorporated Substrate holder for use with interferometer
CN111508887B (zh) * 2020-04-16 2023-10-13 北京北方华创微电子装备有限公司 半导体制造设备及其保护环
CN113972124B (zh) * 2020-07-23 2023-09-29 中微半导体设备(上海)股份有限公司 一种接地组件及其等离子体处理装置与工作方法
KR102555016B1 (ko) * 2023-02-13 2023-07-17 주식회사 기가레인 플라즈마 식각 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US20030066484A1 (en) * 2001-09-26 2003-04-10 Kawasaki Microelectronics, Inc. Electrode cover, plasma apparatus utilizing the cover, and method of fitting the cover onto the plasma electrode
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20030173031A1 (en) * 2002-03-15 2003-09-18 Aggarwal Ravinder K. Wafer holder with peripheral lift ring
US20080069951A1 (en) * 2006-09-15 2008-03-20 Juan Chacin Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144776A (ja) * 1991-11-19 1993-06-11 Oki Electric Ind Co Ltd ドライプロセス装置
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JP3527080B2 (ja) * 1997-12-26 2004-05-17 三菱マテリアル株式会社 板状部材収納容器
JP2000077392A (ja) * 1998-09-01 2000-03-14 Matsushita Electric Ind Co Ltd 真空処理装置
JP2000183033A (ja) * 1998-12-15 2000-06-30 Nec Yamaguchi Ltd 半導体製造方法及び半導体製造装置
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
JP2003124167A (ja) * 2001-10-10 2003-04-25 Sumitomo Heavy Ind Ltd ウエハ支持部材及びこれを用いる両頭研削装置
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP2004172243A (ja) * 2002-11-19 2004-06-17 Nec Kansai Ltd ドライエッチング装置
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
KR100556532B1 (ko) * 2003-12-04 2006-03-06 삼성전자주식회사 플라즈마 식각 장치
KR100549273B1 (ko) * 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
KR20060110555A (ko) * 2005-04-20 2006-10-25 삼성전자주식회사 에지링을 갖는 급속열처리장치
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
JP2007242858A (ja) * 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
JP5349341B2 (ja) * 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US20030066484A1 (en) * 2001-09-26 2003-04-10 Kawasaki Microelectronics, Inc. Electrode cover, plasma apparatus utilizing the cover, and method of fitting the cover onto the plasma electrode
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20030173031A1 (en) * 2002-03-15 2003-09-18 Aggarwal Ravinder K. Wafer holder with peripheral lift ring
US20080069951A1 (en) * 2006-09-15 2008-03-20 Juan Chacin Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects

Cited By (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110290419A1 (en) * 2010-05-25 2011-12-01 Tokyo Electron Limited Plasma processing apparatus
US8858754B2 (en) * 2010-05-25 2014-10-14 Tokyo Electron Limited Plasma processing apparatus
US10453694B2 (en) * 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US9111726B2 (en) * 2011-04-25 2015-08-18 Tokyo Electron Limited Plasma processing apparatus
US20120267048A1 (en) * 2011-04-25 2012-10-25 Tokyo Electron Limited Plasma processing apparatus
US20120273346A1 (en) * 2011-04-29 2012-11-01 Fih (Hong Kong) Limited Flow divider system
TWI503438B (zh) * 2011-04-29 2015-10-11 Fih Hong Kong Ltd 分流器
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9376752B2 (en) 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
CN104205295A (zh) * 2012-04-06 2014-12-10 应用材料公司 沉积腔室的边缘环
WO2013151703A1 (en) * 2012-04-06 2013-10-10 Applied Materials, Inc. Edge ring for a deposition chamber
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9916994B2 (en) * 2013-03-06 2018-03-13 Applied Materials, Inc. Substrate support with multi-piece sealing surface
US20140251207A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Substrate support with multi-piece sealing surface
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20160240410A1 (en) * 2013-03-15 2016-08-18 Applied Materials, Inc. Substrate lift assemblies
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20140262036A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US20170256393A1 (en) * 2013-07-19 2017-09-07 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
DE102014209466B4 (de) * 2013-12-24 2021-06-10 Chengdu Tianma Micro-Electronics Co., Ltd. Trockenätzungsvorrichtung und Elektrode davon
US20150179410A1 (en) * 2013-12-24 2015-06-25 Chengdu Tianma Micro-Electronics Co., Ltd. Dry etching device and electrode thereof
TWI750463B (zh) * 2014-02-03 2021-12-21 奧地利商Ev集團E塔那有限公司 用於接合基板之方法及裝置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259827A1 (en) * 2014-03-17 2015-09-17 Epistar Corporation Susceptor
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10304664B2 (en) 2014-09-30 2019-05-28 Semes Co., Ltd. Systems and methods of treating a substrate
US20160093473A1 (en) * 2014-09-30 2016-03-31 Semes Co., Ltd. Systems and methods of treating a substrate
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9780122B2 (en) * 2015-04-01 2017-10-03 Shanghai Tianma Micro-electronics Co., Ltd. Array substrate, display panel and display device
US10147745B2 (en) 2015-04-01 2018-12-04 Shanghai Tianma Micro-electronics Co., Ltd. Array substrate, display panel and display device
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016798A (ko) * 2015-08-04 2017-02-14 에이에스엠 아이피 홀딩 비.브이. 가변 갭 하드 스톱 설계
KR102590633B1 (ko) 2015-08-04 2023-10-17 에이에스엠 아이피 홀딩 비.브이. 가변 갭 하드 스톱 설계
TWI690010B (zh) * 2015-08-04 2020-04-01 荷蘭商Asm智慧財產控股公司 可變間隙硬停止件的設計
US10087525B2 (en) * 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10597795B2 (en) 2015-12-01 2020-03-24 Siltronic Ag Method for producing a semiconductor wafer with epitaxial layer in a deposition chamber, apparatus for producing a semiconductor wafer with epitaxial layer, and semiconductor wafer with epitaxial layer
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10449577B2 (en) * 2016-02-12 2019-10-22 SCREEN Holdings Co., Ltd. Substrate processing apparatus
TWI684228B (zh) * 2016-02-12 2020-02-01 斯庫林集團股份有限公司 基板處理裝置
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9741537B1 (en) * 2016-02-19 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for supplying ion beam in ion implantation process
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US20190122893A1 (en) * 2016-04-18 2019-04-25 Vault Creation Co., Ltd. Dry etching apparatus
US11348802B2 (en) * 2016-04-18 2022-05-31 Vault Creation Co., Ltd. Dry etching apparatus
CN109075107A (zh) * 2016-04-18 2018-12-21 库库创作股份有限公司 干式蚀刻装置
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180090344A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US10672629B2 (en) * 2016-09-28 2020-06-02 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20180171477A1 (en) * 2016-12-19 2018-06-21 Asm Ip Holding B.V. Substrate processing apparatus
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US20190207243A1 (en) * 2017-02-23 2019-07-04 Lg Chem, Ltd. Plasma generating apparatus for secondary battery and lamination system comprising the same
US11348764B2 (en) * 2017-02-23 2022-05-31 Thinkon New Technology Japan Corporation Electrode ring
US11677094B2 (en) * 2017-02-23 2023-06-13 Lg Energy Solution, Ltd. Plasma generating apparatus for secondary battery and lamination system comprising the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11545345B2 (en) * 2017-05-17 2023-01-03 Thinkon New Technology Japan Corporation Protective material ring
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11441222B2 (en) * 2017-08-31 2022-09-13 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11725283B2 (en) 2017-08-31 2023-08-15 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US20190145001A1 (en) * 2017-11-16 2019-05-16 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head
US11018045B2 (en) * 2017-11-16 2021-05-25 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head
US11345998B2 (en) * 2017-11-16 2022-05-31 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20210287884A1 (en) * 2018-07-30 2021-09-16 Nordson Corporation Systems for workpiece processing with plasma
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11358168B2 (en) * 2019-06-18 2022-06-14 Visera Technologies Company Limited Coating apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110867365A (zh) * 2019-11-04 2020-03-06 北京北方华创微电子装备有限公司 等离子体系统
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11302558B2 (en) * 2020-08-14 2022-04-12 Psk Inc. Substrate processing apparatus and substrate transfer method
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
CN114381716A (zh) * 2020-10-21 2022-04-22 财团法人工业技术研究院 镀膜设备
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023211729A1 (en) * 2022-04-29 2023-11-02 Lam Research Corporation Automatic gap compensation using light source and sensor for substrate processing systems
US12000042B2 (en) 2022-08-11 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Also Published As

Publication number Publication date
JP5548841B2 (ja) 2014-07-16
JP2011510498A (ja) 2011-03-31
JP5617109B2 (ja) 2014-11-05
JP2013232670A (ja) 2013-11-14
WO2009091189A3 (en) 2009-10-22
US20140332498A1 (en) 2014-11-13
CN101919041A (zh) 2010-12-15
CN101919041B (zh) 2013-03-27
WO2009091189A2 (en) 2009-07-23

Similar Documents

Publication Publication Date Title
US20140332498A1 (en) Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
US11393710B2 (en) Wafer edge ring lifting solution
KR100978754B1 (ko) 플라즈마 처리 장치
US20180114717A1 (en) Semiconductor manufacturing device and processing method
JP7115942B2 (ja) 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
US20080202689A1 (en) Plasma processing apparatus
JP7185725B2 (ja) ウェハエッジリングの持ち上げに関する解決
KR100823302B1 (ko) 플라즈마 처리 장치
US20080277064A1 (en) Plasma processing apparatus
KR20080053167A (ko) 플라즈마 처리 장치
US10153137B2 (en) Support unit, substrate treating apparatus including the same, and method for treating a substrate
WO2009054696A1 (en) Baffle, substrate supporting apparatus and plasma processing apparatus and plasma processing method
KR100686285B1 (ko) 플라즈마 처리 장치 및 배기 판
KR101277503B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR102222460B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR100734778B1 (ko) 플라즈마를 이용한 기판 처리 장치 및 방법
KR20210003984A (ko) 기판 처리 장치 및 기판 처리 방법
KR20080026340A (ko) 배플 플레이트를 구비한 플라즈마 처리 장치
KR102593139B1 (ko) 지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
KR100774980B1 (ko) 기판을 처리하는 장치 및 방법
KR20100013148A (ko) 기판 처리 장치 및 기판 처리 방법
KR20210152685A (ko) 포커스 링 유닛과 이를 포함하는 기판 처리 장치 및 방법
KR100772612B1 (ko) 기판을 처리하는 장치 및 방법
KR20230141119A (ko) 포커스 링 이동 유닛 및 기판처리장치
KR101418368B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 에지 식각 장치

Legal Events

Date Code Title Description
AS Assignment

Owner name: CHARM ENGINEERING CO., LTD, KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HAN, YOUNG KI;SEO, YOUNG SOO;KIM, HYOUNG WON;AND OTHERS;REEL/FRAME:024721/0855

Effective date: 20100625

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION