JP5001432B2 - 基板処理装置及び基板処理方法 - Google Patents

基板処理装置及び基板処理方法 Download PDF

Info

Publication number
JP5001432B2
JP5001432B2 JP2010527782A JP2010527782A JP5001432B2 JP 5001432 B2 JP5001432 B2 JP 5001432B2 JP 2010527782 A JP2010527782 A JP 2010527782A JP 2010527782 A JP2010527782 A JP 2010527782A JP 5001432 B2 JP5001432 B2 JP 5001432B2
Authority
JP
Japan
Prior art keywords
tray
substrate
mask
support portion
outer edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010527782A
Other languages
English (en)
Other versions
JPWO2010026955A1 (ja
Inventor
公男 小暮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shibaura Mechatronics Corp
Original Assignee
Shibaura Mechatronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shibaura Mechatronics Corp filed Critical Shibaura Mechatronics Corp
Priority to JP2010527782A priority Critical patent/JP5001432B2/ja
Publication of JPWO2010026955A1 publication Critical patent/JPWO2010026955A1/ja
Application granted granted Critical
Publication of JP5001432B2 publication Critical patent/JP5001432B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Description

本発明は、特に厚さが薄い基板の処理に適した基板処理装置及び基板処理方法に関する。
薄膜形成、表面改質、ドライエッチング等のような処理を基板に対して真空中で行う基板処理において、基板をトレイに載せたまま処理することがある。例えば、特許文献1では、有底のトレイの凹部に落とし込まれた基板の上にさらにリングチャックが載せられ、その状態でトレイごと静電チャックに静電吸着されて基板に対して処理を行うことが開示されている。
特開2003−59998号公報
処理対象の基板として特に厚さが非常に薄い半導体ウェーハの場合には、ウェーハ外縁部とトレイとの接触等によりウェーハ外縁部の破損が起きやすい。ここで問題となるのは、ウェーハの破片が搬送ハンドや静電チャック上に載ってしまうと、それらとウェーハとの間で破片が挟まれ、ウェーハにおける素子形成面にダメージがおよぶ、ウェーハの割れを引き起こす、静電チャック表面がポリイミドなどの柔軟素材だと破片が食い込んで静電チャック用の電極に到達してショートしたりする、といった問題が懸念される。
本発明は上述の問題に鑑みてなされ、基板の破片を原因とする不具合を回避することができる基板処理装置及び基板処理方法を提供する。
本発明の一態様によれば、基板の外縁部を支持する基板支持部と、前記基板支持部よりも外周側且つ上方に突出して設けられたマスク支持部と、前記基板支持部と前記マスク支持部との間に設けられた凹部と、を有するリング状のトレイと、前記トレイの前記マスク支持部に重ね合わされて、前記トレイの前記凹部及び前記基板支持部を覆うリング状のマスクと、静電吸着面と、前記静電吸着面よりも外周側且つ下方に設けられたトレイ載置部と、を有する回転ステージと、を備え、前記基板が前記静電吸着面に吸着され且つ前記トレイが前記トレイ載置部に載置された状態で、前記基板の前記外縁部は前記静電吸着面から前記トレイ載置部側に突出し、前記基板支持部は前記基板の前記外縁部に対して下方に離間し、前記マスクは前記基板の前記外縁部に対して上方に離間することを特徴とする基板処理装置が提供される。
また、本発明の他の一態様によれば、基板支持部と、前記基板支持部よりも外周側且つ上方に突出して設けられたマスク支持部とを有するリング状のトレイにおける前記基板支持部に基板の外縁部を支持させ、且つ前記マスク支持部に重ね合わされたリング状のマスクで前記基板の前記外縁部を覆った状態で、前記トレイ及び前記マスクを、静電吸着面と、前記静電吸着面よりも外周側且つ下方に設けられたトレイ載置部とを有する回転ステージに向けて移動させ、前記基板が前記静電吸着面に吸着され、前記トレイが前記トレイ載置部に載置され、前記静電吸着面から前記トレイ載置部側に突出した前記外縁部に対して前記基板支持部が下方に離間し、且つ前記マスクが前記外縁部に対して上方に離間した状態で、前記回転ステージを回転させつつ前記基板を処理し、前記基板の処理の後、前記トレイが前記トレイ載置部に載置され且つ前記マスクが前記マスク支持部に重ね合わされたまま、前記基板に対する静電吸着力を解除することを特徴とする基板処理方法が提供される。
本発明によれば、基板の破片を原因とする不具合を回避することができる基板処理装置及び基板処理方法が提供される。
本発明の実施形態に係る基板保持部材の模式断面図。 本発明の実施形態に係る基板処理装置の模式図。 搬送ロボットの模式斜視図。 本発明の実施形態に係る基板処理方法において、基板が回転ステージの静電吸着面に静電吸着され、且つトレイが回転ステージのトレイ載置部に載置された状態を示す要部拡大模式図。 図2、4に示す回転ステージにおけるトレイ載置部の他の具体例を示す模式図。
以下、図面を参照し、本発明の実施形態について説明する。本発明の実施形態では、例えば半導体ウェーハを処理対象の基板とし、その半導体ウェーハに対してスパッタ成膜処理を行う具体例を説明する。
本実施形態で処理対象とする半導体ウェーハは非常に薄く、例えば厚さは10〜100μm、さらに具体的には50μm前後である。本実施形態では、そのような薄い半導体ウェーハを保持部材で保持した状態で処理室に搬出入する。
図1は、その保持部材10の模式断面図を示す。また、図1には、保持部材10に保持された状態の半導体ウェーハWもあわせて示す。
保持部材10は、リング状のトレイ11と、同じくリング状のマスク21とから構成される。これらトレイ11とマスク21は、半導体ウェーハWと共に処理室内に搬入され、スパッタ成膜処理時にプラズマ、高温、種々のガスにさらされるが、それに耐え得る十分な耐熱性及び機械的強度を有し、変形や破損などせずに安定して半導体ウェーハWを保持することができる。例えば、トレイ11とマスク21の材料として、チタン、チタン合金、アルミナなどを挙げることができる。
トレイ11は、円形リング状に形成され、その外径は半導体ウェーハWの直径よりも大きく、内径は半導体ウェーハWの直径よりも小さい。トレイ11において、半導体ウェーハWが保持される上面側には段差が設けられ、その反対側の下面は平坦面となっている。
トレイ11の上面側には、ウェーハ支持部(基板支持部)13とマスク支持部12が設けられている。マスク支持部12は、トレイ11において半導体ウェーハWの直径よりも大きな外周側に設けられ、このマスク支持部12よりも内周側にウェーハ支持部13が設けられている。
ウェーハ支持部13の上面は半導体ウェーハWの円形状に合わせて円形リング状に形成され、マスク支持部12の上面も円形リング状に形成されている。また、マスク支持部12の径方向幅寸法はウェーハ支持部13の径方向幅寸法より大きく、したがってマスク支持部12の上面の面積はウェーハ支持部13の上面の面積よりも大きい。
マスク支持部12はウェーハ支持部13よりも上方に突出している。なお、ここでの「上方」とは、トレイ11における平坦な裏面側を下方とした場合の上方を表す。したがって、マスク支持部12の上面とウェーハ支持部13の上面との間には高さレベルの違い(段差)があり、マスク支持部12の上面の方が、ウェーハ支持部13の上面よりも上方に位置している。
トレイ11において、ウェーハ支持部13とマスク支持部12との間には、凹部14が設けられている。凹部14は、半導体ウェーハWの外縁(エッジ)の曲率に沿うように、リング状のトレイ11の周方向全体にわたって連続した溝状に形成されている。凹部14の底は、マスク支持部12の上面及びウェーハ支持部13の上面よりも下方に位置する。
半導体ウェーハWは、その外縁部(周縁部)がトレイ11のウェーハ支持部13の上に載置されることでトレイ11に支持される。半導体ウェーハWの直径は例えば200mmであり、そのうちウェーハ支持部13に接触して支持されるのは外周側の2.5mmほどの部分である。
マスク支持部12の内径は、半導体ウェーハWの直径よりもわずかに大きく、そのマスク支持部12の内周面15よりも内側に半導体ウェーハWが収められ、マスク支持部12の内周面15によって半導体ウェーハWの径方向の位置ずれが規制される。
前述したトレイ11と共に保持部材10を構成するマスク21は、円形リング状に形成され、その外径はトレイ11の外径よりも大きく、内径はトレイ11の内径よりも小さい。すなわち、マスク21の径方向幅寸法はトレイ11の径方向幅寸法より大きく、そのマスク21における下面の一部がトレイ11のマスク支持部12に載置されてトレイ11に重ね合わされた状態で、マスク21はトレイ11のすべてを覆い隠す。マスク21の下面及び上面は共に平坦な面となっており、下面はトレイ11よりも径内方側に延びている。
また、マスク21の最外周部には下方に突出する円形リング状のリブ22が設けられ、このリブ22の内周側にトレイ11が収まることでトレイ11とマスク21との径方向の相互の位置ずれが規制される。また、この円形リング状のリブ22が形成されていることでマスク21の変形が抑制される。トレイ11においては円形リング状の凹部14が形成されていることでトレイ11の変形が抑制される。なお、凹部14の主たる機能は、後述するように、半導体ウェーハWの外縁部に破損が生じた場合にその破片を留め、半導体ウェーハWの裏側にまわりこまないようにすることである。
本実施形態では、半導体ウェーハWにおけるトランジスタ等の素子要部が形成された第1の主面に対する反対側の第2の主面に、電極として機能する金属(純金属に限らず合金も含む)膜をスパッタ法にて成膜する。半導体ウェーハWは、その被成膜面である第2の主面を上側にした状態で、その外縁部がトレイ11のウェーハ支持部13に載置支持される。半導体ウェーハWはその自重でトレイ11のウェーハ支持部13に載る。
マスク21は、トレイ11のマスク支持部12の上に重ね合わされる。マスク21はその自重でトレイ11のマスク支持部12の上に載置される。マスク21がマスク支持部12に重ね合わされた状態で、マスク21は、ウェーハ支持部13、凹部14およびマスク支持部12を含むトレイ11のすべてを覆い、トレイ11に半導体ウェーハWが支持されている場合には、その半導体ウェーハWの外縁部を覆う。このとき、ウェーハ支持部13上面は、マスク支持部12上面より低い位置にあることから、半導体ウェーハWにおける被成膜面とマスク21の下面との間にはわずかな隙間が形成され、マスク21は半導体ウェーハWに接触しない。
半導体ウェーハWは、図1に示すようにトレイ11及びマスク21により保持された状態で処理室内に搬入されたり、処理後には処理室内から搬出される。本実施形態によれば、薄い半導体ウェーハWを、十分な強度を有するトレイ11に載せてそのトレイ11ごと搬送することで、処理室内で半導体ウェーハWをステージに対して昇降させるリフト機構などが半導体ウェーハWに接触せず半導体ウェーハWに傷がつくのを防いだり、半導体ウェーハWにおよぶ衝撃を緩和して破損を防ぐことができる。
さらに、本実施形態では、マスク21をトレイ11の上に重ねて半導体ウェーハWの外縁部をマスク21で覆うことで、搬送中に半導体ウェーハWがトレイ11から飛び跳ねたり脱落するのを防止できる。
本実施形態に係る処理装置は、基板に対して異なる種類の複数の積層膜の成膜、あるいは特定種類の成膜に対応できるように、複数の処理室を有するマルチチャンバ型処理装置である。各処理室内では基板に対して例えばスパッタ成膜処理が行われるが、本実施形態に係るマルチチャンバ型処理装置は、処理室以外にも基板着脱室を備えている。この基板着脱室内には、図3に示す搬送ロボット50が設けられている。
この搬送ロボット50は、駆動機構51により、水平方向にアーム52が動作する水平多関節ロボットである。処理前の半導体ウェーハWは、基板着脱室内で搬送ロボット50により図示しないカセットからアーム52の先端に取り付けられたフィンガー(ハンド)53の上に取り出される。逆に処理後の半導体ウェーハWはフィンガー53からカセット内に戻される。半導体ウェーハWはフィンガー53の上に自重で載っているだけで、吸着等の保持はされていない。半導体ウェーハWがフィンガー53と接している面積に自重分で発生する摩擦力だけが保持力となる。
薄い半導体ウェーハWは重量が軽いため上記搬送ロボット50のように摩擦抵抗を利用した搬送方法では大きな摩擦抵抗が期待できず、搬送速度を速くすることが困難である。これに対して、トレイ11及びマスク21は半導体ウェーハWに比べて十分大きな重量があり、これらトレイ11及びマスク21ごとフィンガー53の上に半導体ウェーハWを載せて搬送することで摩擦抵抗を高めて搬送速度を速くし、トータルの処理時間の短縮が図れる。
図2は、本発明の実施形態に係る処理装置におけるある一つの処理室を模式的に示す。
処理室30はチャンバー壁31によって囲まれている。処理室30内には図示しないガス導入系及び排気系が接続され、これらの制御により、処理室30内を所望のガスによる所望の減圧下にすることが可能である。
処理室30内には、ターゲット34と回転ステージ32が対向して設けられている。ターゲット34はバッキングプレート等に保持されて処理室30内の上部に設けられ、回転ステージ32は処理室30内の底部に設けられている。
回転ステージ32は静電チャック機構を有し、内部に電極33が設けられ、その電極33とステージ表面(静電吸着面32a)との間は誘電体となっている。内部電極33に図示しない電源から電圧を印加すると、静電吸着面32aと、この上に載置された半導体ウェーハWとの間に静電気力が発生し、半導体ウェーハWは静電吸着面32aに吸着固定される。
回転ステージ32において、静電吸着面32aよりも外周側であって且つ静電吸着面32aよりも下方に下がった位置に、トレイ載置部32bが設けられている。トレイ載置部32bは静電吸着面32aの周囲を囲むように環状に設けられている。
本実施形態の処理装置は、前述したように複数の処理室を有するマルチチャンバ型処理装置であるが、装置全体の小型化を図るため各処理室の数を抑制すべく、直径の小さいターゲット34を例えば2つ使用して処理室の共用を行っている。このため、半導体ウェーハWの被成膜面全面に均一に成膜するため、回転ステージ32により半導体ウェーハWを回転させながらスパッタ成膜を行うようにしている。回転ステージ32は、図2において1点鎖線で示す中心軸のまわりに、内部電極33ごと回転可能に設けられている。
半導体ウェーハWは図1に示す状態で保持部材10(トレイ11及びマスク21)ごと、チャンバー壁31に形成された搬出入口36を通じて処理室30内に搬入される。この搬入後、搬出入口36は図示しないゲートなどによって気密に閉塞される。この後、処理室30内はスパッタ成膜処理に適した所望の圧力の所望のガス雰囲気にされる。
搬出入口36を通じた保持部材10の処理室30に対する搬出入は搬送ロボットなどを用いて行われる。また、処理室30内には、図4に示すような例えばピン形状のリフト機構37が設けられている。トレイ11はその下面をリフト機構37に支持される。リフト機構37は、回転ステージ32のトレイ載置部32bの下方に形成されたガイド孔38内およびガイド孔38より上方の空間を昇降可能に設けられている。なお、リフト機構37はピン形状に限らずテーブル形状であってもよい。
半導体ウェーハWを保持した保持部材10は、図2に示すように、回転ステージ32の上方位置に搬入され、その後、保持部材10の下面を支持しているリフト機構37を下降させることで、保持部材10を回転ステージ32に向けて下降させる。
静電吸着面32aは例えば円形状に形成され、トレイ11の内径は静電吸着面32aの直径よりも大きく、トレイ11の内周面よりも内側に静電吸着面32aを入り込ませることができる。
トレイ11の下降と共にトレイ11のウェーハ支持部13に支持された半導体ウェーハWも下降し、トレイ11が静電吸着面32aよりも下方に下降していくと、半導体ウェーハWにおいてトレイ11から露出している下面が静電吸着面32a上に載置され、吸着固定される。半導体ウェーハWにおいてトレイ11のウェーハ支持部13に支持されていた外縁部は、図4に示すように、静電吸着面32aよりも外周側のトレイ載置部32b側にに突出する。
トレイ11は回転ステージ32のトレイ載置部32b上に載置される。図4に示すように、トレイ11がトレイ載置部32b上に載置された状態で、ウェーハ支持部13の上面は静電吸着面32aよりも下方に位置し、半導体ウェーハWの外縁部に対して接触せず離間した状態となる。
トレイ11の下降と共にトレイ11のマスク支持部12に支持されたマスク21も下降する。トレイ11のウェーハ支持部13に半導体ウェーハWが載っている状態のときから、マスク21の内周側の部分21aは半導体ウェーハWの外縁部を覆っているが、トレイ11におけるウェーハ支持部13とマスク支持部12との段差を適切に設定しておくことで、トレイ11が半導体ウェーハWの支持から外れてトレイ載置部32bに載置された状態となっても、マスク21の内周側部分21aは半導体ウェーハWの外縁部に接触せず離間した状態を維持できる。
このような図4に示す状態のまま回転ステージ32が回転されつつ、半導体ウェーハWに対するスパッタ成膜処理が行われる。すなわち、図2に示す電源装置35からターゲット34に電圧を印加することでターゲット34と回転ステージ32との間に放電を起こしてプラズマを生起し、これにより生じたイオンが処理空間内の電界によりターゲット34に向けて加速されてターゲット34に衝突することで、ターゲット材料の粒子がターゲット34からたたき出されて半導体ウェーハWの被成膜面に付着堆積する。
本実施形態によれば、半導体ウェーハWが保持部材10によって保持された搬送中、および図4に示す処理中を通じて、半導体ウェーハWの外縁部の下方に凹部14が存在するため、半導体ウェーハWが薄く外縁部がトレイ11と干渉し、細かい破片が生じても、その破片を凹部14に落下させてとどめておくことができる。すなわち破片が撒き散らない。これにより、半導体ウェーハWの破片が半導体ウェーハWの下面側にまわりこんで、搬送ロボット50のフィンガー53との間や静電吸着面32aとの間で破片を挟み込んでしまうことを回避できる。したがって、半導体ウェーハWの素子形成面の損傷や、破片が回転ステージ32の内部電極33に食い込むことによるショートなどの不具合を防ぐことができる。
また、処理中、マスク21は、凹部14及びウェーハ支持部13を含むトレイ11のすべてを覆った状態となっているため、トレイ11への膜付着を防いで、メンテナンスの軽減を図れる。
スパッタ成膜処理が終了すると、図4に示す状態を維持したまま、まず、図2に示す内部電極33への電圧印加を停止し、半導体ウェーハWに対する静電吸着力を解除する。このとき、マスク21の内周側部分21aが半導体ウェーハWの外縁部を覆っているため、半導体ウェーハWの回転ステージ32上での跳ね上がりや、回転ステージ32からの落下を防ぐことができる。
半導体ウェーハWの吸着固定が解除された後、図4の状態からリフト機構37の上昇によりトレイ11を上昇させ、トレイ11のウェーハ支持部13上に半導体ウェーハWの外縁部を載せ、半導体ウェーハWを静電吸着面32aから持ち上げる。そして、図2に示す搬出入口36を開けて、図示しない搬送機構により保持部材10ごと半導体ウェーハWを処理室30の外に搬出する。
回転ステージ32を回転させながらの処理中、半導体ウェーハWは静電吸着面32aに固定されているが、トレイ11は回転ステージ32のトレイ載置部32b上に載っているだけであり、またマスク21もトレイ11のマスク支持部12上に載っているだけである。したがって、回転ステージ32の回転中、慣性力でトレイ11やマスク21は半導体ウェーハWに対して相対的にずれるような動きをしてしまう可能性がある。トレイ11を回転ステージ32に対して固定させたり、トレイ11とマスク21とを相互に固定させればそのようなずれの動きはなくせるが、回転を伴う機構のため複雑な構成になりがちである。
そこで、本実施形態では、回転ステージ32の回転によってトレイ11やマスク21がずれるような動きは許容しつつも、図4に示すように、トレイ11及びマスク21が回転ステージ32に載った状態で、それらのいずれの部分も半導体ウェーハWに接触しないようにしているため、トレイ11やマスク21がずれる動きの影響が半導体ウェーハWに伝わらないようにしている。半導体ウェーハWが薄いと、トレイ11やマスク21とのちょっとした接触でも破損につながりやすいが、本実施形態では前述したようにトレイ11及びマスク21を半導体ウェーハWに対して離間させていることから半導体ウェーハWの破損を防ぐことができる。また仮に、トレイ11やマスク21が半導体ウェーハWの外縁部に接触して、その外縁部が破損するようなことが生じても、前述したように、トレイ11の凹部14に破片を落下させてとどめておくことができるので、その破片を原因とする不具合を回避できる。
なお、トレイ載置部32bに、回転ステージ32の回転に伴うトレイ11の滑り移動を規制する規制機構を設けてもよい。例えば、図5(a)に、トレイ11の下面を収容することができる溝41を設けた例を示す。この場合、溝41の側壁によってトレイ11の径方向の移動を規制することができる。また、図5(b)には、トレイ載置部表面に細かな凹凸42を設けた例を示す。あるいは、トレイ載置部表面を粗面化処理してもよい。この場合、トレイ載置部表面と、この上に載置されるトレイ下面との摩擦力を高めて、トレイ11がすべり移動しにくくできる。
なお、特許文献1では、静電吸着面に対してトレイの裏面が吸着される構成となっているのに対し、本実施形態では、静電吸着面32aに対して半導体ウェーハWが直接吸着される。したがって、本実施形態によれば、例えばステージに内蔵したヒータ等により半導体ウェーハを加熱する場合には、ステージと半導体ウェーハとの間にトレイが介在しないため、ステージからウェーハへの熱伝達が阻害されることなく半導体ウェーハを所望の温度にするべく加熱または冷却の制御性をよくすることができる。また、ウェーハと吸着面との密着度合いがウェーハ全面にわたりばらつくことなく均一にでき、ウェーハ面内の温度分布の均一化も図れる。以上のことから、ウェーハ処理品質を高めることができる。また、トレイを静電吸着するとなるとトレイは絶縁物に限られるが、本実施形態ではトレイの材質は絶縁物に限られず、材料選択の制約を受けない。
以上、具体例を参照しつつ本発明の実施形態について説明した。しかし、本発明は、それらに限定されるものではなく、本発明の技術的思想に基づいて種々の変形が可能である。
処理対象の基板としては、半導体ウェーハに限らず、例えば、リソグラフィにおけるパターン転写用のマスク、ディスク状記録媒体などであってもよい。また、基板に対して行う処理もスパッタ成膜に限らず、スパッタエッチング、CDE(chemical dry etching)、CVD(chemical vapor deposition)、表面改質などの処理であってもよい。
10 保持部材
11 トレイ
12 マスク支持部
13 ウェーハ支持部(基板支持部)
14 凹部
21 マスク
30 処理室
32 回転ステージ
32a 静電吸着面
32b トレイ載置部
34 ターゲット

Claims (10)

  1. 基板の外縁部を支持する基板支持部と、前記基板支持部よりも外周側且つ上方に突出して設けられたマスク支持部と、前記基板支持部と前記マスク支持部との間に設けられた凹部と、を有するリング状のトレイと、
    前記トレイの前記マスク支持部に重ね合わされて、前記トレイの前記凹部及び前記基板支持部を覆うリング状のマスクと、
    静電吸着面と、前記静電吸着面よりも外周側且つ下方に設けられたトレイ載置部と、を有する回転ステージと、
    を備え、
    前記基板が前記静電吸着面に吸着され且つ前記トレイが前記トレイ載置部に載置された状態で、前記基板の前記外縁部は前記静電吸着面から前記トレイ載置部側に突出し、前記基板支持部は前記基板の前記外縁部に対して下方に離間し、前記マスクは前記基板の前記外縁部に対して上方に離間することを特徴とする基板処理装置。
  2. 前記凹部は、前記リング状のトレイの周方向全体にわたって連続して設けられていることを特徴とする請求項1記載の基板処理装置
  3. 前記トレイ載置部に、前記回転ステージの回転に伴う前記トレイの滑り移動を規制する規制機構が設けられていることを特徴とする請求項記載の基板処理装置。
  4. 前記回転ステージは、搬出入口を有するチャンバー壁によって囲まれた処理室に設けられ、
    前記基板は前記トレイに支持され、前記マスクが前記トレイに重ねられた状態で、前記搬出入口を通じて前記処理室の内外に搬出入されることを特徴とする請求項1記載の基板処理装置。
  5. 前記基板を支持した前記トレイ及び前記マスクを、前記回転ステージ上で昇降させるリフト機構をさらに備えたことを特徴とする請求項1記載の基板処理装置。
  6. 前記基板は、真空下の前記回転ステージ上で処理されることを特徴とする請求項1記載の基板処理装置。
  7. 基板支持部と、前記基板支持部よりも外周側且つ上方に突出して設けられたマスク支持部とを有するリング状のトレイにおける前記基板支持部に基板の外縁部を支持させ、且つ前記マスク支持部に重ね合わされたリング状のマスクで前記基板の前記外縁部を覆った状態で、前記トレイ及び前記マスクを、静電吸着面と、前記静電吸着面よりも外周側且つ下方に設けられたトレイ載置部とを有する回転ステージに向けて移動させ、
    前記基板が前記静電吸着面に吸着され、前記トレイが前記トレイ載置部に載置され、前記静電吸着面から前記トレイ載置部側に突出した前記外縁部に対して前記基板支持部が下方に離間し、且つ前記マスクが前記外縁部に対して上方に離間した状態で、前記回転ステージを回転させつつ前記基板を処理し、
    前記基板の処理の後、前記トレイが前記トレイ載置部に載置され且つ前記マスクが前記マスク支持部に重ね合わされたまま、前記基板に対する静電吸着力を解除することを特徴とする基板処理方法。
  8. 前記基板を前記トレイに支持し、前記トレイに前記マスクを重ねた状態で、前記回転ステージが設けられた処理室の内外に搬出入することを特徴とする請求項7記載の基板処理方法。
  9. 前記トレイの下面を支持するリフト機構によって、前記基板を支持した前記トレイ及び前記マスクを前記回転ステージに向けて移動させることを特徴とする請求項7記載の基板処理方法。
  10. 前記基板を、真空下の前記回転ステージ上で処理することを特徴とする請求項7記載の基板処理方法。
JP2010527782A 2008-09-08 2009-09-01 基板処理装置及び基板処理方法 Active JP5001432B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010527782A JP5001432B2 (ja) 2008-09-08 2009-09-01 基板処理装置及び基板処理方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008229484 2008-09-08
JP2008229484 2008-09-08
JP2010527782A JP5001432B2 (ja) 2008-09-08 2009-09-01 基板処理装置及び基板処理方法
PCT/JP2009/065233 WO2010026955A1 (ja) 2008-09-08 2009-09-01 基板保持部材、基板処理装置、基板処理方法

Publications (2)

Publication Number Publication Date
JPWO2010026955A1 JPWO2010026955A1 (ja) 2012-02-02
JP5001432B2 true JP5001432B2 (ja) 2012-08-15

Family

ID=41797123

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010527782A Active JP5001432B2 (ja) 2008-09-08 2009-09-01 基板処理装置及び基板処理方法

Country Status (7)

Country Link
US (1) US9099513B2 (ja)
JP (1) JP5001432B2 (ja)
KR (1) KR101533138B1 (ja)
CN (1) CN102150251B (ja)
DE (1) DE112009002156T5 (ja)
TW (1) TWI421976B (ja)
WO (1) WO2010026955A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11664253B2 (en) 2019-03-18 2023-05-30 Kioxia Corporation Semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102150251B (zh) * 2008-09-08 2013-06-19 芝浦机械电子株式会社 基板处理装置及基板处理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200479167Y1 (ko) * 2010-01-27 2015-12-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조 챔버의 링 어셈블리
US8402628B2 (en) * 2010-07-22 2013-03-26 Primestar Solar, Inc. Apparatus, carrier, and method for securing an article for coating processes
CN102543810A (zh) * 2010-12-27 2012-07-04 无锡华润上华科技有限公司 晶圆片承载座
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101173578B1 (ko) * 2012-03-09 2012-08-13 윈텍 주식회사 정전 유도 흡착식 전자부품 검사 테이블
CN103426790A (zh) * 2012-05-24 2013-12-04 上海宏力半导体制造有限公司 一种防止晶片边缘碎裂的装置
JP6029049B2 (ja) * 2012-06-08 2016-11-24 パナソニックIpマネジメント株式会社 トレイ、プラズマ処理装置、プラズマ処理方法、およびカバー部材
US9064673B2 (en) * 2012-06-12 2015-06-23 Axcelis Technologies, Inc. Workpiece carrier
WO2013188519A1 (en) * 2012-06-12 2013-12-19 Axcelis Technologies, Inc. Workpiece carrier
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10446710B2 (en) * 2012-12-13 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Transfer chamber and method of using a transfer chamber
US9425077B2 (en) * 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103882375B (zh) * 2014-03-12 2016-03-09 京东方科技集团股份有限公司 一种掩膜板及其制作方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016040800A (ja) * 2014-08-12 2016-03-24 アズビル株式会社 プラズマエッチング装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE112015004190A5 (de) 2014-11-26 2017-06-14 Von Ardenne Gmbh Substrathaltevorrichtung, Substrattransportvorrichtung, Prozessieranordnung und Verfahren zum Prozessieren eines Substrats
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US20170309455A1 (en) * 2016-04-25 2017-10-26 Toyota Jidosha Kabushiki Kaisha Plasma apparatus
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) * 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10654147B2 (en) * 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN108022829A (zh) * 2017-11-30 2018-05-11 武汉华星光电半导体显示技术有限公司 一种基板及其制备方法、系统以及显示面板
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
DE102018102766B4 (de) * 2018-02-07 2019-10-31 Uwe Beier Trägervorrichtung für ein flaches Substrat und Anordnung aus einer Handhabungsvorrichtung und einer solchen Trägervorrichtung
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US20190259635A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Process kit for processing reduced sized substrates
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108374149B (zh) * 2018-03-12 2019-12-03 内蒙古中天宏远再制造股份公司 一种金属表面富含稀土元素超耐磨合金层的生产装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7259060B2 (ja) * 2019-02-05 2023-04-17 アプライド マテリアルズ インコーポレイテッド 堆積プロセスのためのマスクのチャッキングのための基板支持体
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN113767187A (zh) 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
TWI721463B (zh) * 2019-06-21 2021-03-11 日月光半導體製造股份有限公司 環狀件及晶圓夾持組件
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
JP7398988B2 (ja) * 2020-03-13 2023-12-15 東京エレクトロン株式会社 スパッタ装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JP2003059998A (ja) * 2001-08-13 2003-02-28 Anelva Corp トレイ式マルチチャンバー基板処理装置及びトレイ式基板処理装置
JP2004022571A (ja) * 2002-06-12 2004-01-22 Toyota Motor Corp ウエハ支持治具およびそれを用いた半導体素子製造方法
JP2008047841A (ja) * 2006-08-21 2008-02-28 Advantest Corp 保持冶具

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) * 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
JP2003282670A (ja) * 2002-03-27 2003-10-03 Dainippon Screen Mfg Co Ltd 基板保持アーム、基板搬送装置、基板処理装置および基板保持方法
CN100435312C (zh) * 2003-11-27 2008-11-19 株式会社日立国际电气 基板处理装置、基板保持器、和半导体装置的制造方法
KR100673003B1 (ko) * 2005-06-03 2007-01-24 삼성전자주식회사 증착 장치
JP2007234882A (ja) * 2006-03-01 2007-09-13 Dainippon Screen Mfg Co Ltd 基板処理装置および基板取り扱い方法
JP2008084902A (ja) * 2006-09-26 2008-04-10 Hitachi Kokusai Electric Inc 基板処理装置
CN102150251B (zh) * 2008-09-08 2013-06-19 芝浦机械电子株式会社 基板处理装置及基板处理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JP2003059998A (ja) * 2001-08-13 2003-02-28 Anelva Corp トレイ式マルチチャンバー基板処理装置及びトレイ式基板処理装置
JP2004022571A (ja) * 2002-06-12 2004-01-22 Toyota Motor Corp ウエハ支持治具およびそれを用いた半導体素子製造方法
JP2008047841A (ja) * 2006-08-21 2008-02-28 Advantest Corp 保持冶具

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11664253B2 (en) 2019-03-18 2023-05-30 Kioxia Corporation Semiconductor manufacturing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
TWI421976B (zh) 2014-01-01
US20110159200A1 (en) 2011-06-30
TW201021155A (en) 2010-06-01
KR101533138B1 (ko) 2015-07-01
DE112009002156T5 (de) 2012-01-12
CN102150251A (zh) 2011-08-10
WO2010026955A1 (ja) 2010-03-11
CN102150251B (zh) 2013-06-19
US9099513B2 (en) 2015-08-04
KR20110053383A (ko) 2011-05-20
JPWO2010026955A1 (ja) 2012-02-02

Similar Documents

Publication Publication Date Title
JP5001432B2 (ja) 基板処理装置及び基板処理方法
US11133210B2 (en) Dual temperature heater
TWI707970B (zh) 具有整合遮件庫的預清洗腔室
KR100636487B1 (ko) 기판 지지 장치 및 기판 디처킹 방법
US7922440B2 (en) Apparatus and method for centering a substrate in a process chamber
TWI570835B (zh) 用於基板處理室的兩片擋板盤組件
JP2010126789A (ja) スパッタ成膜装置
JP5090536B2 (ja) 基板処理方法及び基板処理装置
TWI470722B (zh) 基板搬送機構、基板處理裝置及半導體裝置之製造方法
WO2016167233A1 (ja) 基板保持機構、成膜装置、および基板の保持方法
TWI652364B (zh) Deposition equipment and physical vapor deposition chamber
TW201933442A (zh) 遮擋盤組件、半導體加工裝置和方法
TWI829685B (zh) 具有自定心特徵的兩件式快門盤組件
JP2018056171A (ja) 基板処理装置、搬送方法およびサセプタ
JP2019189908A (ja) 成膜装置および成膜方法
WO2005076343A1 (ja) 半導体処理用の基板保持具及び処理装置
JP2003059998A (ja) トレイ式マルチチャンバー基板処理装置及びトレイ式基板処理装置
TW202336906A (zh) 薄膜沉積裝置
KR20210041961A (ko) 플라즈마 원자층 증착 장치
JP7176361B2 (ja) 基板処理方法及び基板処理装置
JP7398988B2 (ja) スパッタ装置
US20220328343A1 (en) Processing method and processing apparatus
JP2011198957A (ja) 基板処理装置及び基板保持体及び半導体装置の製造方法
TW202204653A (zh) 薄膜沉積設備及薄膜沉積方法
JPH10156781A (ja) ウエハハンドリング装置

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120507

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120517

R150 Certificate of patent or registration of utility model

Ref document number: 5001432

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150525

Year of fee payment: 3