CN102150251A - 基板保持构件、基板处理装置、基板处理方法 - Google Patents

基板保持构件、基板处理装置、基板处理方法 Download PDF

Info

Publication number
CN102150251A
CN102150251A CN2009801351534A CN200980135153A CN102150251A CN 102150251 A CN102150251 A CN 102150251A CN 2009801351534 A CN2009801351534 A CN 2009801351534A CN 200980135153 A CN200980135153 A CN 200980135153A CN 102150251 A CN102150251 A CN 102150251A
Authority
CN
China
Prior art keywords
support
mask
substrate
supporting portion
outer edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801351534A
Other languages
English (en)
Other versions
CN102150251B (zh
Inventor
小暮公男
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shibaura Mechatronics Corp
Original Assignee
Shibaura Mechatronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shibaura Mechatronics Corp filed Critical Shibaura Mechatronics Corp
Publication of CN102150251A publication Critical patent/CN102150251A/zh
Application granted granted Critical
Publication of CN102150251B publication Critical patent/CN102150251B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Abstract

本申请发明的基板保持构件具有:环状支架(11),其具有支承基板(W)的外缘部的基板支承部(13)、设置为比基板支承部(13)更靠向外周侧且比基板支承部(13)的上面更向上方突出的掩模支承部(12)、设置在基板支承部(13)与掩模支承部(12)之间的凹部(14);及环状掩模(21),在与支架(11)的掩模支承部(12)重叠的状态下覆盖支架(11)的凹部(14)及基板支承部(13)。

Description

基板保持构件、基板处理装置、基板处理方法
技术领域
本发明涉及基板保持构件、基板处理装置、基板处理方法,尤其应用于厚度很薄的基板的处理。
背景技术
在对基板在真空中进行薄膜形成、表面改性、干式蚀刻等处理的基板处理中存在将基板放在支架上进行处理的情况。例如,在专利文献1中公开有如下内容,在放入有底支架凹部的基板上再放上环形卡盘,并在该状态下连同支架一起被静电吸附于静电卡盘,以便对基板进行处理。
专利文献1:日本国特开2003-59998号公报
尤其在作为处理对象的基板是厚度非常薄的半导体晶片的情况下,因晶片外缘部与支架的接触等,容易产生晶片外缘部破损。这里的问题是,如果晶片的碎片掉到搬运手或静电卡盘上,则以下问题令人担心:在它们与晶片之间夹进碎片,对晶片的元件形成面造成损伤;引起晶片破碎;如果静电卡盘表面是聚酰亚胺等柔软原材料,碎片则会进入,到达静电卡盘用的电极而造成短路等。
发明内容
本发明是鉴于上述问题而进行的,提供能够避免由于基板碎片的原因而产生不良状况的基板保持构件、基板处理装置、基板处理方法。
根据本发明的一个形态,提供一种基板保持构件,其特征在于,具备:环状支架,其具有支承基板的外缘部的基板支承部、设置为比所述基板支承部更靠向外周侧且比所述基板支承部的上面更向上方突出的掩模支承部、设置在所述基板支承部与所述掩模支承部之间的凹部;及环状掩模,在与所述支架的所述掩模支承部重叠的状态下,覆盖所述支架的所述凹部及所述基板支承部。
而且,根据本发明的另一个形态,提供一种基板处理装置,具备:环状支架,其具有支承基板的外缘部的基板支承部、设置为比所述基板支承部更靠向外周侧且比所述基板支承部的上面更向上方突出的掩模支承部、设置在所述基板支承部与所述掩模支承部之间的凹部;环状掩模,在与所述支架的所述掩模支承部重叠的状态下,覆盖所述支架的所述凹部及所述基板支承部;及旋转载物台,其具有可对所述基板的从所述支架及所述掩模露出的面进行静电吸附的静电吸附面、设置为比所述静电吸附面更靠向外周侧且比所述静电吸附面更靠向下方的支架放置部,其特征在于,在所述基板吸附于所述静电吸附面的状态下,所述基板的外缘部向所述支架放置部侧突出,在所述支架放置于所述支架放置部的状态下,所述支架及与所述支架重叠的所述掩模离开所述基板的外缘部并覆盖所述外缘部。
而且,根据本发明的另一个其他形态,提供一种基板处理方法,其特征在于,使设置于环状支架的基板支承部支承基板的外缘部,使环状掩模与设置为比所述基板支承部更靠向外周侧且比所述基板支承部的上面更向上方突出的所述支架的掩模支承部重叠,在由所述支架及所述掩模覆盖所述基板的外缘部的状态下保持所述基板,使保持有所述基板的所述支架及所述掩模向旋转载物台移动,在使所述基板的所述外缘部向所述支架放置部侧突出的状态下,使从所述支架及所述掩模露出的面吸附于所述旋转载物台的静电吸附面,同时通过使所述支架放置于设置为比所述静电吸附面更靠向外周侧且比所述静电吸附面更靠向下方的支架放置部,从而使所述支架及与所述支架重叠的所述掩模成为离开所述基板的外缘部并覆盖所述外缘部的状态,在该状态下使所述旋转载物台旋转的同时,对所述基板进行处理,在所述基板的处理后,在保持所述支架放置于所述支架放置部且所述支架及与所述支架重叠的所述掩模离开所述基板的外缘部并覆盖所述外缘部的状态下,进行对所述基板的静电吸附力的解除。
根据本发明,可提供能够避免由于基板碎片的原因而产生不良状况的基板保持构件、基板处理装置、基板处理方法。
附图说明
图1是本发明的实施方式涉及的基板保持构件的模式剖视图。
图2是本发明的实施方式涉及的基板处理装置的模式图。
图3是搬运机器人的模式立体图。
图4是在本发明的实施方式涉及的基板处理方法中,表示基板被静电吸附在旋转载物台的静电吸附面,且支架放置于旋转载物台的支架放置部的状态下的主要部分的放大模式图。
图5是表示图2、图4所示的旋转载物台的支架放置部的其他具体例的模式图。
符号说明
10-保持构件;11-支架;12-掩模支承部;13-晶片支承部(基板支承部);14-凹部;21-掩模;30-处理室;32-旋转载物台;32a-静电吸附面;32b-支架放置部;34-靶。
具体实施方式
下面,参照附图对本发明的实施方式进行说明。在本发明的实施方式中,针对如下具体例进行说明,例如将半导体晶片作为处理对象的基板,对该半导体晶片进行溅射成膜处理。
在实施方式中作为处理对象的半导体晶片非常薄,例如厚度为10~100μm,更具体地说为50μm左右。在本实施方式中,在将上述很薄的半导体晶片用保持构件保持的状态下搬入、搬出处理室。
图1表示该保持构件10的模式剖视图。而且,在图1中也同时表示保持于保持构件10的状态的半导体晶片W。
保持构件10由环状的支架11和同样为环状的掩模21构成。上述支架11和掩模21与半导体晶片W一同被搬入处理室内,虽然在溅射成膜处理时暴露在等离子体、高温、各种气体中,但是具有能够经得住这些的充分的耐热性及机械强度,能够不发生变形或破损等,稳定地保持半导体晶片W。例如,作为支架11和掩模21的材料,可列举钛、钛合金、矾土等。
支架11形成为圆形环状,其外径比半导体晶片W的直径大,内径比半导体晶片W的直径小。在支架11上,在保持半导体晶片W的上面侧设有阶梯差,其相反侧的下面为平坦面。
在支架11的上面侧,设有晶片支承部(基板支承部)13和掩模支承部12。掩模支承部12在支架11上设置在比半导体晶片W直径大的外周侧,在比该掩模支承部12更靠内的内周侧设有晶片支承部13。
晶片支承部13的上面配合半导体晶片W的圆形而形成为圆形环状,掩模支承部12的上面也形成为圆形环状。而且,掩模支承部12的径向宽度尺寸大于晶片支承部13的径向宽度尺寸,因此,掩模支承部12的上面面积大于晶片支承部13的上面面积。
掩模支承部12比晶片支承部13更向上方突出。另外,这里的“上方”是表示以支架11平坦的背面侧为下方时的上方。因此,在掩模支承部12的上面与晶片支承部13的上面之间存在高度程度上的差异(阶梯差),与晶片支承部13的上面相比,掩模支承部12的上面位于上方。
在支架11上,在晶片支承部13与掩模支承部12之间设有凹部14。凹部14按照半导体晶片W外缘(边缘)的曲率形成为在环状支架11的周向整体上连续的槽状。与掩模支承部12的上面及晶片支承部13的上面相比,凹部14的底位于下方。
半导体晶片W由于其外缘部(周缘部)放置在支架11的晶片支承部13上,所以被支架11支承。半导体晶片W的直径例如为200mm,其中,与晶片支承部13接触而被支承的是外周侧2.5mm左右的部分。
掩模支承部12的内径略微大于半导体晶片W的直径,半导体晶片W被收进比该掩模支承部12的内周面15更靠内的内侧,通过掩模支承部12的内周面15来限制半导体晶片W的径向位置偏移。
与上述支架11一同构成保持构件10的掩模21形成为圆形环状,其外径比支架11的外径大,内径比支架11的内径小。即,掩模21的径向宽度尺寸大于支架11的径向宽度尺寸,在该掩模21的下面的一部分放置于支架11的掩模支承部12从而与支架11重叠的状态下,掩模21将支架11全部遮盖。掩模21的下面及上面均为平坦面,下面比支架11更向径向内侧延伸。
而且,在掩模21的最外周部设置向下方突出的圆形环状的肋22,由于支架11收进该肋22的内周侧,所以限制支架11与掩模21的径向相互的位置偏移。而且,由于形成有该圆形环状的肋22,所以可抑制掩模21变形。在支架11上,由于形成有圆形环状的凹部14,所以可抑制支架11变形。而且,凹部14的主要功能如后所述,是在半导体晶片W的外缘部发生破损时留住其碎片,使其不会转移到半导体晶片W的背面。
在本实施方式中,采用溅射法在第2主面上进行作为电极发挥作用的金属(不局限于纯金属,也包括合金)膜的成膜,第2主面是半导体晶片W上形成有晶体管等元件的主要部分的第1主面的相反侧。半导体晶片W在使其被成膜面即第2主面为上侧的状态下,其外缘部放置于支架11的晶片支承部13并被支承。半导体晶片W靠其自重放在支架11的晶片支承部13上。
掩模21在支架11的掩模支承部12上重叠。掩模21靠其自重放置在支架11的掩模支承部12上。在掩模21与掩模支承部12重叠的状态下,掩模21将包括晶片支承部13、凹部14及掩模支承部12的支架11全部覆盖,在支架11上支承有半导体晶片W时,则覆盖该半导体晶片W的外缘部。此时,由于晶片支承部13上面位于比掩模支承部12上面低的位置,所以在半导体晶片W的被成膜面与掩模21的下面之间形成微小的间隙,掩模21不会与半导体晶片W接触。
如图1所示,半导体晶片W在由支架11及掩模21保持的状态下被搬入处理室内,或者在处理后被从处理室内搬出。根据本实施方式,通过将很薄的半导体晶片W放在具有充分强度的支架11上并连同该支架11一起搬运,则在处理室内使半导体晶片W对载物台进行升降的升降机构等可以不接触半导体晶片W,能够防止半导体晶片W受伤,还能够缓解带给半导体晶片W的冲击,防止破损。
并且,在本实施方式中,通过将掩模21叠放在支架11上来覆盖半导体晶片W的外缘部,能够防止半导体晶片W在搬运中从支架11上弹跳或脱落。
本实施方式涉及的处理装置是具有多个处理室的多室型处理装置,能够应对在基板上进行不同种类的多个积层膜的成膜或者特定种类的成膜。虽然在各处理室内对基板进行例如溅射成膜处理,但是本实施方式涉及的多室型处理装置除处理室之外还具备基板装卸室。在该基板装卸室内设有图3所示的搬运机器人50。
该搬运机器人50是手臂52根据驱动机构51而在水平方向上进行动作的水平多关节机器人。处理前的半导体晶片W在基板装卸室内由搬运机器人50从未图示的盒中取出至安装在手臂52顶端的机械手(手)53上。反之,处理后的半导体晶片W被从机械手53上放回盒内。半导体晶片W仅靠自重放在机械手53上,未进行吸附等的保持。构成保持力的仅仅是靠自重部分而在半导体晶片W与机械手53接触的面积上产生的摩擦力。
因为很薄的半导体晶片W重量轻,所以采用像上述搬运机器人50那样利用摩擦阻力的搬运方法则无法期待大的摩擦阻力,难以加快搬运速度。对此,与半导体晶片W相比,支架11及掩模21具有足够大的重量,通过连同上述支架11及掩模21一起将半导体晶片W放在机械手53上进行搬运,可以提高摩擦阻力,加快搬运速度,实现总处理时间缩短。
图2模式化地表示本发明的实施方式涉及的处理装置的某一个处理室。
处理室30由室壁31包围。处理室30内连接未图示的气体导入系统及排气系统,通过这些系统的控制,可以使处理室30内为所希望的气体的所希望的减压下的状态。
在处理室30内靶34与旋转载物台32相对设置。靶34保持在后板等上设置在处理室30内的上部,旋转载物台32设置在处理室30内的底部。
旋转载物台32具有静电卡盘机构,电极33设置在内部,该电极33与载物台表面(静电吸附面32a)之间构成电介质。当由未图示的电源向内部电极33外加电压时,则在静电吸附面32a与放置在其上的半导体晶片W之间产生静电力,半导体晶片W被吸附固定在静电吸附面32a上。
在旋转载物台32上,在比静电吸附面32a更靠向外周侧且比静电吸附面32a更向下方降低的位置上,设有支架放置部32b。支架放置部32b设置为环状,包围静电吸附面32a的周围。
如上所述,本实施方式的处理装置虽然是具有多个处理室的多室型处理装置,但是,为了实现装置整体小型化应抑制各处理室的数量,例如使用两个直径小的靶34来共用一个处理室。由此,为了在半导体晶片W的整个被成膜面上均匀地进行成膜,设计为一边通过旋转载物台32使半导体晶片W旋转一边进行溅射成膜。旋转载物台32被设置为可连同内部电极33一起绕图2中点划线所示的中心轴旋转。
半导体晶片W以图1所示的状态经过形成在室壁31上的搬运出入口36连同保持构件10(支架11及掩模21)一起被搬入处理室30内。在该搬入后,搬运出入口36被未图示的门等气密性封闭。然后,使处理室30内成为适于溅射成膜处理的所希望的压力的所希望的气体环境。
将经过搬运出入口36的保持构件10搬出搬入处理室30是使用搬运机器人等进行的。而且,在处理室30内设有如图4所示的例如栓销状的升降机构37。支架11的下面被升降机构37支承。升降机构37设置为,能够在形成于旋转载物台32的支架放置部32b下方的导孔38内以及从导孔38开始在上方空间升降。另外,升降机构37不局限于栓销状,也可以是工作台状。
如图2所示,保持半导体晶片W的保持构件10被搬入至旋转载物台32的上方位置,然后,通过使支承保持构件10下面的升降机构37下降,从而使保持构件10朝向旋转载物台32下降。
静电吸附面32a例如形成为圆形,支架11的内径比静电吸附面32a的直径大,可以使静电吸附面32a进入比支架11的内周面更靠内的内侧。
随着支架11的下降,被支架11的晶片支承部13支承的半导体晶片W也一同下降,当支架11逐渐下降到比静电吸附面32a更靠向下方时,半导体晶片W上的从支架11露出的下面则放置在静电吸附面32a上,被吸附固定。如图4所示,半导体晶片W上的被支架11的晶片支承部13支承的外缘部向比静电吸附面32a更靠向外周侧的支架放置部32b侧突出。
支架11放置在旋转载物台32的支架放置部32b上。如图4所示,在支架11放置在支架放置部32b上的状态下,晶片支承部13的上面位于比静电吸附面32a更靠向下方的位置,对于半导体晶片W的外缘部构成不接触、离开的状态。
随着支架11的下降,被支架11的掩模支承部12支承的掩模21也一同下降。从支架11的晶片支承部13上放有半导体晶片W的状态时开始,虽然掩模21的内周侧部分21a覆盖半导体晶片W的外缘部,但是,通过预先恰当地设定支架11的晶片支承部13与掩模支承部12的阶梯差,则即使支架11从半导体晶片W的支承中离开从而成为放置在支架放置部32b的状态,掩模21的内周侧部分21a也能够保持与半导体晶片W的外缘部不接触、离开的状态。
在使旋转载物台32在上述图4所示的状态下旋转的同时,对半导体晶片W进行溅射成膜处理。即,通过由图2所示的电源装置35向靶34外加电压,在靶34与旋转载物台32之间则发生放电,产生等离子体,通过使由此产生的离子由于处理空间内的电场而朝向靶34加速并撞击靶34,从而将靶材的粒子从靶34中溅射出来,在半导体晶片W的被成膜面附着堆积。
根据本实施方式,在由保持构件10保持半导体晶片W的搬运过程以及在图4所示的处理过程的整个过程中,由于在半导体晶片W外缘部的下方存在凹部14,所以即使半导体晶片W薄,外缘部与支架11发生干涉产生微小碎片,也可以使该碎片掉落到凹部14而被留住。即碎片不会散布。由此,能够避免出现以下情况:半导体晶片W的碎片转移到半导体晶片W的下面侧,在与搬运机器人50的机械手53之间或者与静电吸附面32a之间夹入碎片。因此,能够防止由于半导体晶片W的元件形成面的损伤或碎片侵入旋转载物台32的内部电极33而引起短路等的不良状况。
而且,在处理中,由于掩模21呈将包括凹部14及晶片支承部13的支架11全部覆盖的状态,因此能够防止膜附着于支架11,实现维护工作减轻。
当溅射成膜处理结束时,在保持图4所示的状态下,首先停止向图2所示的内部电极33外加电压,解除对半导体晶片W的静电吸附力。此时,由于掩模21的内周侧部分21a覆盖半导体晶片W的外缘部,所以能够防止半导体晶片W在旋转载物台32上弹起或者从旋转载物台32上掉落。
半导体晶片W的吸附固定被解除后,通过升降机构37的上升,使处在图4状态的支架11上升,使半导体晶片W的外缘部放在支架11的晶片支承部13上,将半导体晶片W从静电吸附面32a抬起。然后,打开图2所示的搬运出入口36,通过未图示的搬运机构将半导体晶片W连同保持构件10一起搬出处理室30外。
在一边使旋转载物台32旋转一边进行的处理中,虽然半导体晶片W固定在静电吸附面32a上,但是支架11只是放在旋转载物台32的支架放置部32b上,而且掩模21也只是放在支架11的掩模支承部12上。因此,旋转载物台32旋转时,支架11或掩模21有可能因惯性力而产生对于半导体晶片W相对偏移的移动。如果将支架11固定于旋转载物台32,或者将支架11与掩模21相互固定,则可以消除上述偏移的移动,但因为是伴随旋转的机构,所以容易成为复杂的构成。
于是,在本实施方式中,虽然容许支架11或掩模21因旋转载物台32的旋转而偏移的移动,但是,如图4所示,在支架11及掩模21放在旋转载物台32上的状态下,由于设计为上述任何部分均不接触半导体晶片W,所以支架11或掩模21偏移移动的影响不会传递给半导体晶片W。如果半导体晶片W薄,则即使与支架11或掩模21有轻微的接触也容易造成破损,但是在本实施方式中,如前所述,因为使支架11及掩模21离开半导体晶片W,所以能够防止半导体晶片W破损。而且,即便支架11或掩模21接触到半导体晶片W的外缘部,该外缘部产生破损,如前所述,也能够使碎片掉落到支架11的凹部14而将其留住,因此,能够避免由于该碎片的原因而产生不良状况。
另外,也可以在支架放置部32b上设置限制机构,限制支架11随着旋转载物台32的旋转而滑动。例如,在图5(a)中示出了设有能够收容支架11下面的槽41的例子。在此情况下,能够通过槽41的侧壁限制支架11的径向移动。而且,在图5(b)中示出了在支架放置部表面设有细微凹凸42的例子。或者,也可以对支架放置部表面进行粗糙化处理。在此情况下,可提高支架放置部表面与放置在其上的支架下面的摩擦力,使支架11难以滑动。
另外,在专利文献1中,是支架的背面吸附于静电吸附面的构成,而在本实施方式中,是半导体晶片W直接吸附于静电吸附面32a。因此,根据本实施方式,例如在通过内置于载物台内的加热器等对半导体晶片进行加热的情况下,由于在载物台与半导体晶片之间未夹着支架,因此,从载物台向晶片的热传递不会受到阻碍,能够使加热或冷却的控制性良好,从而使半导体晶片达到所希望的温度。而且,晶片与吸附面的密合程度能够在晶片的整个面上达到均等,不会不均匀,还能够实现晶片面内的温度分布均等化。从以上可以看出,能够提高晶片处理质量。而且,如果是对支架进行静电吸附,则支架限定为绝缘物,但是在本实施方式中,支架材质不限定为绝缘物,不受材料选择的制约。
以上,参照具体例对本发明的实施方式进行了说明。但是,本发明并不局限于上述内容,可根据本发明的技术思想进行各种变形。
作为处理对象的基板,不局限于半导体晶片,例如也可以是光刻技术中图形转印用的掩模,圆盘状记录媒介物等。而且,对基板进行的处理也不局限于溅射成膜,也可以是溅射蚀刻、化学干式蚀刻CDE(chemical dry etching)、化学气相沉积CVD(Chemical vapor deposition)、表面改性等处理。

Claims (5)

1.一种基板保持构件,其特征在于,具备:
环状支架,其具有支承基板的外缘部的基板支承部、设置为比所述基板支承部更靠向外周侧且比所述基板支承部的上面更向上方突出的掩模支承部、设置在所述基板支承部与所述掩模支承部之间的凹部;
及环状掩模,在与所述支架的所述掩模支承部重叠的状态下,覆盖所述支架的所述凹部及所述基板支承部。
2.根据权利要求1所述的基板保持构件,其特征在于,所述凹部被设置为在所述环状支架的周向整体上连续。
3.一种基板处理装置,具备:
环状支架,其具有支承基板的外缘部的基板支承部、设置为比所述基板支承部更靠向外周侧且比所述基板支承部的上面更向上方突出的掩模支承部、设置在所述基板支承部与所述掩模支承部之间的凹部;
环状掩模,在与所述支架的所述掩模支承部重叠的状态下,覆盖所述支架的所述凹部及所述基板支承部;
及旋转载物台,其具有可对所述基板的从所述支架及所述掩模露出的面进行静电吸附的静电吸附面、设置为比所述静电吸附面更靠向外周侧且比所述静电吸附面更靠向下方的支架放置部,
其特征在于,
在所述基板吸附于所述静电吸附面的状态下,所述基板的外缘部向所述支架放置部侧突出,
在所述支架放置于所述支架放置部的状态下,所述支架及与所述支架重叠的所述掩模离开所述基板的外缘部并覆盖所述外缘部。
4.根据权利要求3所述的基板处理装置,其特征在于,在所述支架放置部上设有限制机构,限制所述支架随着所述旋转载物台的旋转而滑动。
5.一种基板处理方法,其特征在于,
使设置于环状支架的基板支承部支承基板的外缘部,使环状掩模与设置为比所述基板支承部更靠向外周侧且比所述基板支承部的上面更向上方突出的所述支架的掩模支承部重叠,在由所述支架及所述掩模覆盖所述基板的外缘部的状态下保持所述基板,
使保持有所述基板的所述支架及所述掩模向旋转载物台移动,在使所述基板的所述外缘部向所述支架放置部侧突出的状态下,使从所述支架及所述掩模露出的面吸附于所述旋转载物台的静电吸附面,同时通过使所述支架放置于设置为比所述静电吸附面更靠向外周侧且比所述静电吸附面更靠向下方的支架放置部,从而使所述支架及与所述支架重叠的所述掩模成为离开所述基板的外缘部并覆盖所述外缘部的状态,在该状态下使所述旋转载物台旋转的同时,对所述基板进行处理,
在所述基板的处理后,在保持所述支架放置于所述支架放置部且所述支架及与所述支架重叠的所述掩模离开所述基板的外缘部并覆盖所述外缘部的状态下,进行对所述基板的静电吸附力的解除。
CN2009801351534A 2008-09-08 2009-09-01 基板处理装置及基板处理方法 Active CN102150251B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008229484 2008-09-08
JP2008-229484 2008-09-08
PCT/JP2009/065233 WO2010026955A1 (ja) 2008-09-08 2009-09-01 基板保持部材、基板処理装置、基板処理方法

Publications (2)

Publication Number Publication Date
CN102150251A true CN102150251A (zh) 2011-08-10
CN102150251B CN102150251B (zh) 2013-06-19

Family

ID=41797123

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801351534A Active CN102150251B (zh) 2008-09-08 2009-09-01 基板处理装置及基板处理方法

Country Status (7)

Country Link
US (1) US9099513B2 (zh)
JP (1) JP5001432B2 (zh)
KR (1) KR101533138B1 (zh)
CN (1) CN102150251B (zh)
DE (1) DE112009002156T5 (zh)
TW (1) TWI421976B (zh)
WO (1) WO2010026955A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103308450A (zh) * 2012-03-09 2013-09-18 胜利科技股份有限公司 静电感应吸附式电子部件检测台
CN104364890A (zh) * 2012-06-12 2015-02-18 艾克塞利斯科技公司 工件承载件
CN108022829A (zh) * 2017-11-30 2018-05-11 武汉华星光电半导体显示技术有限公司 一种基板及其制备方法、系统以及显示面板
CN108374149A (zh) * 2018-03-12 2018-08-07 内蒙古中天宏远再制造股份公司 一种金属表面富含稀土元素超耐磨合金层的生产装置
CN108538778A (zh) * 2014-12-12 2018-09-14 朗姆研究公司 承载环

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102150251B (zh) * 2008-09-08 2013-06-19 芝浦机械电子株式会社 基板处理装置及基板处理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011094230A2 (en) * 2010-01-27 2011-08-04 Applied Materials, Inc. Life enhancement of ring assembly in semiconductor manufacturing chambers
US8402628B2 (en) * 2010-07-22 2013-03-26 Primestar Solar, Inc. Apparatus, carrier, and method for securing an article for coating processes
CN102543810A (zh) * 2010-12-27 2012-07-04 无锡华润上华科技有限公司 晶圆片承载座
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103426790A (zh) * 2012-05-24 2013-12-04 上海宏力半导体制造有限公司 一种防止晶片边缘碎裂的装置
JP6029049B2 (ja) * 2012-06-08 2016-11-24 パナソニックIpマネジメント株式会社 トレイ、プラズマ処理装置、プラズマ処理方法、およびカバー部材
US9064673B2 (en) * 2012-06-12 2015-06-23 Axcelis Technologies, Inc. Workpiece carrier
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10446710B2 (en) * 2012-12-13 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Transfer chamber and method of using a transfer chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9425077B2 (en) * 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103882375B (zh) * 2014-03-12 2016-03-09 京东方科技集团股份有限公司 一种掩膜板及其制作方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016040800A (ja) * 2014-08-12 2016-03-24 アズビル株式会社 プラズマエッチング装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10770324B2 (en) 2014-11-26 2020-09-08 VON ARDENNE Asset GmbH & Co. KG Substrate holding device, substrate transport device, processing arrangement and method for processing a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
DE102017108290B4 (de) * 2016-04-25 2021-05-06 Toyota Jidosha Kabushiki Kaisha Plasmavorrichtung
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) * 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10654147B2 (en) * 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
DE102018102766B4 (de) * 2018-02-07 2019-10-31 Uwe Beier Trägervorrichtung für ein flaches Substrat und Anordnung aus einer Handhabungsvorrichtung und einer solchen Trägervorrichtung
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US20190259635A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Process kit for processing reduced sized substrates
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7259060B2 (ja) * 2019-02-05 2023-04-17 アプライド マテリアルズ インコーポレイテッド 堆積プロセスのためのマスクのチャッキングのための基板支持体
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020155489A (ja) 2019-03-18 2020-09-24 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN113767187A (zh) 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
TWI721463B (zh) * 2019-06-21 2021-03-11 日月光半導體製造股份有限公司 環狀件及晶圓夾持組件
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7398988B2 (ja) * 2020-03-13 2023-12-15 東京エレクトロン株式会社 スパッタ装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (ja) 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) * 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
JP4518712B2 (ja) * 2001-08-13 2010-08-04 キヤノンアネルバ株式会社 トレイ式マルチチャンバー基板処理装置
JP2003282670A (ja) * 2002-03-27 2003-10-03 Dainippon Screen Mfg Co Ltd 基板保持アーム、基板搬送装置、基板処理装置および基板保持方法
JP4096636B2 (ja) 2002-06-12 2008-06-04 トヨタ自動車株式会社 ウエハ支持治具およびそれを用いた半導体素子製造方法
JP4312204B2 (ja) * 2003-11-27 2009-08-12 株式会社日立国際電気 基板処理装置、基板保持具、及び半導体装置の製造方法
KR100673003B1 (ko) * 2005-06-03 2007-01-24 삼성전자주식회사 증착 장치
JP2007234882A (ja) * 2006-03-01 2007-09-13 Dainippon Screen Mfg Co Ltd 基板処理装置および基板取り扱い方法
JP2008047841A (ja) * 2006-08-21 2008-02-28 Advantest Corp 保持冶具
JP2008084902A (ja) * 2006-09-26 2008-04-10 Hitachi Kokusai Electric Inc 基板処理装置
CN102150251B (zh) * 2008-09-08 2013-06-19 芝浦机械电子株式会社 基板处理装置及基板处理方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103308450A (zh) * 2012-03-09 2013-09-18 胜利科技股份有限公司 静电感应吸附式电子部件检测台
CN103308450B (zh) * 2012-03-09 2015-09-09 胜利科技股份有限公司 静电感应吸附式电子部件检测台
CN104364890A (zh) * 2012-06-12 2015-02-18 艾克塞利斯科技公司 工件承载件
CN108538778A (zh) * 2014-12-12 2018-09-14 朗姆研究公司 承载环
CN108022829A (zh) * 2017-11-30 2018-05-11 武汉华星光电半导体显示技术有限公司 一种基板及其制备方法、系统以及显示面板
CN108374149A (zh) * 2018-03-12 2018-08-07 内蒙古中天宏远再制造股份公司 一种金属表面富含稀土元素超耐磨合金层的生产装置

Also Published As

Publication number Publication date
KR101533138B1 (ko) 2015-07-01
TW201021155A (en) 2010-06-01
US9099513B2 (en) 2015-08-04
JPWO2010026955A1 (ja) 2012-02-02
WO2010026955A1 (ja) 2010-03-11
JP5001432B2 (ja) 2012-08-15
US20110159200A1 (en) 2011-06-30
DE112009002156T5 (de) 2012-01-12
KR20110053383A (ko) 2011-05-20
CN102150251B (zh) 2013-06-19
TWI421976B (zh) 2014-01-01

Similar Documents

Publication Publication Date Title
CN102150251B (zh) 基板处理装置及基板处理方法
US11133210B2 (en) Dual temperature heater
KR101287656B1 (ko) 종형 열처리 장치 및 기판 지지구
JP5548163B2 (ja) 基板搬送機構、基板処理装置および半導体装置の製造方法
JP6398761B2 (ja) 基板処理装置
US7922440B2 (en) Apparatus and method for centering a substrate in a process chamber
TWI570835B (zh) 用於基板處理室的兩片擋板盤組件
TW202003892A (zh) 具有整合遮件庫的預清洗腔室
JP2010126789A (ja) スパッタ成膜装置
KR102584339B1 (ko) 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
WO2005076343A1 (ja) 半導体処理用の基板保持具及び処理装置
US8545158B2 (en) Loading unit and processing system
US8709218B2 (en) Vacuum processing apparatus, vacuum processing method, and electronic device manufacturing method
KR20200000638A (ko) 기판 처리 장치 및 기판 처리 방법
US10777439B1 (en) Substrate processing apparatus
EP3696847B1 (en) Pod opener
JP4521177B2 (ja) 真空処理装置及び真空処理システム
JP2008235810A (ja) 熱処理方法及び熱処理装置並びに被処理基板移載方法
JP6496919B2 (ja) ベルヌーイハンド及び半導体製造装置
JP2011198957A (ja) 基板処理装置及び基板保持体及び半導体装置の製造方法
JP2004288878A (ja) 真空処理装置及び真空空間の形成方法
KR20070000280A (ko) 반도체소자 제조설비의 기판 이송장치
JP2014130895A (ja) 基板処理装置及び基板搬送方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant