JP4724353B2 - 半導体基板のための高圧処理チャンバー - Google Patents

半導体基板のための高圧処理チャンバー Download PDF

Info

Publication number
JP4724353B2
JP4724353B2 JP2002514758A JP2002514758A JP4724353B2 JP 4724353 B2 JP4724353 B2 JP 4724353B2 JP 2002514758 A JP2002514758 A JP 2002514758A JP 2002514758 A JP2002514758 A JP 2002514758A JP 4724353 B2 JP4724353 B2 JP 4724353B2
Authority
JP
Japan
Prior art keywords
pressure chamber
platen
wafer
processing
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002514758A
Other languages
English (en)
Other versions
JP2004508699A (ja
Inventor
アルバート ビバーガー,マキシミリアン
ポール レイマン,フレデリック
ロバート サットン,トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2004508699A publication Critical patent/JP2004508699A/ja
Application granted granted Critical
Publication of JP4724353B2 publication Critical patent/JP4724353B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【0001】
関連出願
本出願は、2000年7月26日出願のUS仮出願60/220,883号、及び2001年4月10日出願のUS仮出願60/283,132号の優先権主張を伴うものであり、またこれら2つの仮出願は、この参照により開示に含まれるものである。
【0002】
発明の分野
本発明は、高圧処理の分野に関する。特に本発明は、半導体基板の高圧処理の分野に関する。
【0003】
発明の背景
半導体基板の処理においては、他の被加工物の処理とは無関係の独特の問題がある。典型的には、半導体処理はシリコンウエハーから行われる。半導体処理は、シリコンウエハーをドープで処理してトランジスター半導体を製造することから始められる。次に半導体処理は、エッチングのライン及びバイアが点在する金属及び誘電体の層を連続的に形成して、トランジスター接点及び相互配線構造を製造する。最終的には、半導体処理において、トランジスター半導体、トランジスター接点及び相互配線は集積回路を形成する。
【0004】
半導体基板の処理における重要な必須条件は、清浄性である。多くの半導体処理は、本質的には清浄な環境である真空下で行われる。他の半導体処理は、大気圧下での湿式処理にて行われる。湿式処理におけるリンス処理は本質的に清浄な処理だからである。例えば、ライン及びバイアのエッチングの後のフォトレジスト及びフォトレジスト残渣の除去にはプラズマ灰化及び真空処理が行われ、続いて剥離槽での剥離及び湿式処理が行われる。
【0005】
半導体基板の処理における他の重要な必須条件には、処理能力及び信頼性が含まれる。半導体基板の製造工程は、半導体の製造設備にて行われる。半導体の製造設備は、処理装置、製造設備自体及びそれを運転する従業員のために、多大な設備投資を必要とする。それらの費用を埋め合わせてその製造設備により十分な収入を得るためには、処理装置が、一定時間内において十分なウエハーの処理能力を有する必要がある。その処理装置はまた、製造設備により継続的な収入を確保するために、信頼性の高い処理を行わなければならない。
【0006】
最近まで、プラズマ灰化及び剥離槽は、半導体処理におけるフォトレジスト及びフォトレジスト残渣の除去には十分であるとされてきた。しかし、近年の集積回路の進歩によって、剥離槽に耐える十分な構造を備えた大きさに満たない限界寸法のエッチング形状と、プラズマ灰化の酸素環境に耐えられない低誘電率材料とが使用されている。
【0007】
近年は、フォトレジスト及びフォトレジスト残渣の除去のためのプラズマ灰化及び剥離槽を超臨界処理に置換することに関心が集まっている。しかし、従来の超臨界処理システムの高圧処理チャンバーは、半導体処理の独特なニーズを満足するには適切でない。
【0008】
半導体処理に要求される清浄性を満足する半導体処理用高圧処理チャンバーが必要とされる。半導体処理に要求される処理能力を満足する半導体処理用高圧処理チャンバーが必要とされる。半導体処理に要求される信頼性を満足する半導体処理用高圧処理チャンバーが必要とされる。
【0009】
発明の概要
本発明は、半導体基板の処理のための高圧チャンバーである。高圧チャンバーは、チャンバーハウジング、プラテン及び機械式駆動装置を有する。チャンバーハウジングは、第1シール面を有する。プラテンは、半導体基板を保持するための部位及び第2シール面を有する。機械式駆動装置は、プラテンをチャンバーハウジングに接続する。機械式駆動装置は、半導体基板を配置するために作動時にプラテンをチャンバーハウジングから分離する。さらに機械式駆動装置の作動時には、プラテンの第2シール面とチャンバーハウジングの第1シール面とが、機械式駆動装置によって半導体基板の周りに高圧処理チャンバーを形成する。
【0010】
好適な実施形態の詳細な説明
本発明の好適な圧力チャンバーは、好ましくは半導体ウエハーの超臨界処理に使用される。好適な圧力チャンバーは、超臨界処理モジュールの一部を形成することが好ましい。超臨界処理モジュールは、半導体ウエハーからフォトレジストを除去するために使用されることが好ましい。選択的に、超臨界処理モジュールは、フォトレジスト現像のような半導体ウエハーの他の超臨界処理に使用される。
【0011】
本発明の圧力チャンバーフレームは図1に示される。圧力チャンバーフレーム10は、圧力チャンバーハウジング部分12、開閉ハウジング部分14、ウエハースリット16、窓18、ポスト19、上部開口部20及び上部ボルト穴22を有する。ウエハースリット16は、300mmのウエハーのための大きさであることが好ましい。選択的に、ウエハースリット16は、より大きめ又はより小さめのウエハーのための大きさである。ウエハースリットはさらに選択的に、パック(puck)のようなウエハー以外の半導体基板のための大きさである。
【0012】
圧力チャンバーフレーム10の開閉ハウジング部分14は窓18を有し、窓18により、好適な圧力チャンバーの組立て及び分解のためのアクセスが可能になる。好ましくは、窓18は4つあり、それらは圧力チャンバーフレーム10の側面に位置する。好ましくは、各々の窓18の側部は2つのポスト19によって、上部は圧力チャンバーハウジング部分12によって、底部は基礎23によって形成される。圧力チャンバーハウジング部分12のボルト穴22は、上蓋を圧力チャンバーフレーム10にボルトで固定するためのものである。
【0013】
本発明の好適な圧力チャンバーを説明する前に、本発明の形態をより単純に説明するために第1の他の圧力チャンバーを説明する。
【0014】
本発明の第1の他の圧力チャンバーは図2に示される。第1の他の圧力チャンバー30は、圧力チャンバーフレーム10、上蓋32、ウエハープラテン34、シリンダー36及びシールプレート38を有する。上蓋32は、好ましくはボルト(図示せず)によって圧力チャンバーフレーム10に接続される。ウエハープラテン34はシリンダー36に接続される。シリンダー36はピストン(図示せず)に接続される。シールプレート38は、ピストンを封着して大気から分離する。
【0015】
当業者には、複数の固定具がウエハープラテン34をシリンダー36に接続し、シリンダー36をピストンに接続し、シールプレート38を圧力チャンバーフレーム10に接続することが直ちに明らかであろう。さらに当業者には、好ましくは上蓋32を圧力チャンバーフレーム10に接続するボルトが、スクリュー又は圧力チャンバーフレーム10と上蓋32との螺着のような、他の固定具に置換可能であることが直ちに明らかであろう。
【0016】
閉鎖状態にある第1の他の圧力チャンバー30の断面が図3に示される。第1の他の圧力チャンバー30は、圧力チャンバーフレーム10、上蓋32、ウエハープラテン34、シリンダー36、シールプレート38、ピストン40及びスペーサー/注入リング42を有する。好ましくは、圧力チャンバーフレーム10、上蓋32、ウエハープラテン34、シリンダー36、シールプレート38、ピストン40及びスペーサー/注入リング42はステンレス鋼を含む。スペーサー/注入リング42、上蓋32及びウエハープラテン34は、ウエハーキャビティ44を形成する。ウエハーキャビティ44は、第1、第2及び第3のOリング溝48、50及び52に配置される第1、第2及び第3のOリング(図示せず)によって封止されることが好ましい。圧力チャンバーフレーム10及びシールプレート38は、シールプレート38を貫通するピストンネック56を除き、ピストン本体54を囲繞する。ピストンネック56はシリンダー36に接続され、シリンダー36はウエハープラテン34に接続される。
【0017】
圧力チャンバーフレーム10及びピストン本体54は、ピストン本体54の下方に液圧キャビティ58を形成する。圧力チャンバーフレーム10、シールプレート38、ピストン本体54及びピストン本体54の直上のピストンネック56は、ピストン本体54とシールプレート38との間に気圧キャビティ60を形成する。
【0018】
当業者には、ピストン本体54と圧力チャンバーフレーム10との間のピストンシールによって、液圧キャビティ58が気圧キャビティ60から分離されることが直ちに明らかであろう。さらに当業者には、ピストンネック56とシールプレート38との間のネックシール、及びシールプレート38と圧力チャンバーフレーム10との間のプレートシールによって、気圧キャビティ60が大気から分離されることが直ちに明らかであろう。さらに、この技術分野ではよく知られた液流体システム及び気流体システムが、液圧キャビティ58及び気圧キャビティ60にそれぞれ接続されることは、当業者に直ちに明らかであろう。
【0019】
超臨界処理においては、ウエハーキャビティ44に半導体ウエハー46が配置され、好ましくは、フォトレジストを半導体ウエハー46から除去するために、ウエハーキャビティ44にて超臨界液体が溶剤とともに使用される。超臨界処理及びウエハーキャビティ44の大気への通気の後に、液圧キャビティ58内の液流体が減圧され、一方気圧キャビティ60はガスにより僅かに加圧され、それによりピストン40が下降する。それによりウエハープラテン34が下降し、半導体ウエハー46がスリット16に近接する。次にウエハー46はスリット16から取り除かれる。好ましくは、半導体ウエハーはロボット(図示せず)により取り除かれる。選択的に、半導体ウエハー46は技術者により取り除かれる。
【0020】
次に第2の半導体ウエハーが、スリット16を通してウエハープラテン34の上に配置される。次に、気圧キャビティ60が大気に通気され、一方液圧キャビティ58は液流体によって加圧され、それによりウエハープラテン34がスペーサー/注入リング42内に移動し、ウエハーキャビティ44が再形成される。次にウエハーキャビティ44が加圧され、超臨界液体及び溶剤が第2のウエハーからフォトレジストを除去する。
【0021】
超臨界処理が行われる間は、液圧キャビティ58内の液流体の圧力は、ウエハープラテン34に対して、超臨界液体により加えられる下向きの力よりも大きい上向きの力を加えられるように維持されることは、当業者には直ちに明らかであろう。
【0022】
本発明のスペーサー/注入リング42はさらに図4Aに示される。スペーサー/注入リングは、環状部64及び注入ノズル66を備えたリング本体62を有する。スペーサー/注入リング42の内径は305mm(12インチ)より僅かに大きいことが好ましく、これは300mmのウエハーのための大きさである。選択的に、スペーサー/注入リング42はそれより大きめ又は小さめの内径を有する。スペーサー/注入リングは、好ましくは45個の注入ノズル66を有する。選択的に、スペーサー/注入リングより多い又は少ない注入ノズル66を有する。各々の注入ノズル66は、スペーサー/注入リング42の内径方向に対して45°の方向である。選択的に、注入ノズルはそれより大きい又は小さい角度方向である。スペーサー/注入リング42は、好ましくは5.08mm(0.200インチ)の厚さを有する。選択的に、スペーサー/注入リング42はそれより大きめ又は小さめの厚さを有する。
【0023】
図4Bはスペーサー/注入リング42の断面図であり、リング本体62、環状部64及び1つの注入リング66が図示されている。環状部64は、幅4.06mm(0.160インチ)及び高さ2.79mm(0.110インチ)の矩形断面を有することが好ましい。注入ノズル66の各々の直径は0.71mm(0.028インチ)であることが好ましい。スペーサー/注入リング42の環状部64及び注入ノズル66は、ウエハーキャビティ44(図3)に流入する超臨界液体のための通路を形成する。超臨界処理において、超臨界液体は先ず、超臨界液体の貯蔵器として作用する環状部64に流入する。次に超臨界液体は、注入ノズル66によってウエハーキャビティ44内に注入され、ウエハーキャビティ44(図3)内に渦を生じさせる。
【0024】
本発明のウエハーキャビティ44及び2ポートの出口が図5に示される。他の上蓋32Aにより形成されたウエハーキャビティ44、ウエハープラテン34及びスペーサー/注入リング42は、2ポート出口70を通じて排液される。2ポート出口70は、第1位置74と第2位置76との間を行き来する往復部材72を有する。第1及び第2位置の間の往復部材72の行き来によって、スペーサー/注入リング42により形成された渦の中心は、第1排出ポート78と第2排出ポート80との間を行き来する。好ましくは、第1及び第2排出ポート78及び80は12.7mm(0.50インチ)の直径を有し、それらの中心は39.4mm(1.55インチ)の距離だけ離れている。選択的に、その直径及び距離は、本発明の特殊な実施によって大きく又は小さくなる。
【0025】
流入する超臨界液体82は、作動時にはスペーサー/注入リング42の環状部64に入り、ウエハーキャビティ44内に渦を生じさせ、往復部材が第1位置74から第2位置76に移動したときに、第1及び第2排出ポート78及び80の近傍に第1及び第2の渦中心を択一的に形成する。次に、流出する超臨界液体84が2ポート出口70から排出される。このようにして、半導体ウエハー46の全表面の超臨界処理が保証される。
【0026】
スペーサー/注入リング42の注入リング66及び2ポート出口70を、ゲート弁を介した半導体基板の出口及び入口を有する一般的な圧力チャンバー内に組み込むことができることは、当業者には直ちに明らかであろう。さらに、半導体基板の特殊な超臨界処理によれば、その特殊な超臨界処理が適切な処理のために渦を必要としないことにより、スペーサー/注入リング42が不要となり得ることが、当業者には直ちに明らかであろう。さらに、2ポート出口70の往復部材72がより一般的なバルブ装置に置換可能であることは、当業者には直ちに明らかであろう。
【0027】
本発明の好適な圧力チャンバーが図6に示される。好適な圧力チャンバー30Aは、圧力チャンバーフレーム10、他の上蓋32A、ウエハープラテン組体34A、シールプレート38、他のピストン40A及び空気圧シリンダー86を有する。ウエハープラテン組体34Aは、下方プラテン88、上方プラテン90及び台座92を有する。他のピストン40Aは、他のピストン本体54A及び他のピストンネック56Aを有する。
【0028】
他のピストンネック56Aは中空の中央部分を有し、そこで空気圧シリンダー86が他のピストン40Aに接続される。ピストンネック56Aは、ピストンネック56Aの上部にて下方プラテン88に接続される。下方プラテン88は、下方プラテン88の上面にて上方プラテン90に接続される。下方プラテン88及び上方プラテン90は、下方及び上方プラテン88及び90の中心にて台座92に接続される。台座92は、台座92の下端にて空気圧シリンダー86に接続される。台座92は、台座真空チャック96を真空にする真空ポート94を有する。
【0029】
好適な圧力チャンバー30Aが真空ポート94への真空系及び空気圧シリンダー86への空気圧系を有することは、当業者に直ちに明らかであろう。
【0030】
図7Aは上方プラテン90の上面図である。上方プラテン90は、第4及び第5のOリング溝100及び102、並びに第1及び第2の真空溝104及び106を有する。第4及び第5のOリングは、作動時は第4及び第5のOリング溝104及び106に配置される。
【0031】
図7Bは上方プラテン90の部分断面図である。この断面には、第4及び第5のOリング溝100及び102、第1及び第2の真空溝104及び106、並びに第2真空ポート108が含まれる。第2真空ポート108は、作動時に真空ポンプに接続され、第1及び第2の真空溝104及び106が真空になる。従って、第4のOリング溝100及び第1の真空溝104は、下方プラテン88及び台座92(図6)とともに真空チャックを形成する。第5のOリング溝102及び第2の真空溝は、真空チャックに余剰部分を付与するため、第4のOリング溝100を通る漏れは真空チャックの機能を阻害しない。この余剰部分はまた、半導体ウエハー46(図6)の背面の保護にも役立つ。
【0032】
さらに上方プラテン90の底面が図7Cに示される。底面90は、電気抵抗式加熱要素溝110を有する。好ましくは、電気抵抗式加熱要素が作動時に電気抵抗式加熱要素溝110に配置され、ウエハーキャビティ44及び半導体ウエハー46(図6)の加熱を補助する。
【0033】
上方プラテン90は、300mmのウエハーを配置できるような大きさであることが好ましい。上方プラテン90の代わりに他の上方プラテンが使用可能であり、他の上方プラテンは、例えば200mmのウエハーのような300mmのウエハー以外の異なる大きさのウエハーを配置するための寸法を有する、第4及び第5のOリング溝100及び102、並びに第1及び第2の真空溝を有する。従って、異なる大きさのウエハーを配置するためには、好適な圧力チャンバー30A(図6)内のウエハープラテン組体34Aを交換するのではなく、上方プラテン90のみを交換するだけでよい。
【0034】
好適な圧力チャンバー30Aが有する圧力チャンバーフレーム10、他の上蓋32A、スペーサー/注入リング42及びウエハープラテン組体34Aはさらに、図8A〜8Fに示される。ウエハープラテン組体34Aは、下方プラテン88、上方プラテン90及び台座92を有する。下方プラテンは、第6及び第7のOリング(図示せず)のための第6及び第7のOリング溝112及び114を有し、第6及び第7のOリングは、下方プラテン88を上方プラテン90及び台座92にそれぞれ封着する。下方プラテン88はまた、真空ポンプを第2真空ポート108(図7B)に接続する第3真空ポート(図示せず)を有する。
【0035】
図8Aにおいては、ウエハープラテン組体34Aは閉鎖位置にあり、ウエハーキャビティ44は空である。図8Bにおいて、他のピストン40A(図6)によりウエハープラテン組体34Aが積載(ロード)位置に下げられる。図8Cにおいて、ロボットの先端効果器(エンドエフェクタ)116により半導体ウエハー46が好適な圧力チャンバー30A内に移動する。図8Dにおいて、台座92がエアシリンダー86(図6)によって駆動され、半導体ウエハー46が上昇させられてロボット先端効果器116から離され、ロボット先端効果器は好適な圧力チャンバー30Aから引き込められる。半導体ウエハー46が台座92により上昇させられてロボット先端効果器から離されると、半導体ウエハー46に固定された第1真空ポート94を通じて、台座真空チャック96が真空にされる。
【0036】
図8Eにおいて、台座92がエアシリンダー86によって下げられて、台座92の下面が第7のOリング溝114にて下方プラテン88に封着される。台座92が下方プラテン88に当接すると、半導体ウエハー46に固定された第1及び第2の真空溝104及び106内の真空により、半導体ウエハー46が上方プラテン90に固定される。図8Fにおいて、ウエハープラテン組体34Aが他のピストン40Aによって上昇させられ、ウエハーキャビティ44が上方プラテン90とスペーサー/注入リング42との間に封止される。
【0037】
本発明の第2の他の圧力チャンバーを含む、本発明の超臨界処理モジュールを図9に示す。超臨界処理モジュール200は、第2の他の圧力チャンバー30B、圧力チャンバーヒーター204、二酸化炭素供給装置206、循環ループ208、循環ポンプ210、化学物質及びリンス物質供給装置212、分離容器214、液体/固体廃棄物容器217、並びに液化/浄化装置219を有する。
【0038】
第2の他の圧力チャンバー30Bは、他の圧力チャンバーハウジング12A及び他のウエハープラテン34Bを有する。他の圧力チャンバーハウジング12A及び他のウエハープラテン34Bは、半導体基板46のための他のウエハーキャビティ44Aを形成する。他の圧力チャンバーハウジング12Aは、他の注入ノズル66Aを有する。他のウエハープラテン34Aは、液圧力を利用して他の圧力チャンバーハウジング12Aに対して保持されることが好ましい。選択的に、他のウエハープラテン34Bが機械的把持力を利用して他の圧力チャンバーハウジング12Aに対して保持される。他のウエハープラテン34Bは、液圧力の解放によってロード/アンロード位置215に移動することが好ましい。選択的に、他のウエハープラテン34Bは、機械的把持力の解放とともにロード/アンロード位置215に移動する。さらに選択的には、他のウエハープラテン34Bは、他のウエハープラテン34Bに接続されたドライブスクリューの作動、又は気圧力の利用によって、ロード/アンロード位置215に移動する。
【0039】
二酸化炭素供給装置206は、二酸化炭素供給容器216、二酸化炭素ポンプ218及び二酸化炭素ヒーター220を有する。化学物質及びリンス物質供給装置212は、化学物質供給容器222、リンス物質供給容器224、並びに第1及び第2の高圧注入ポンプ226及び228を有する。
【0040】
二酸化炭素供給容器216は、二酸化炭素ポンプ218及び二酸化炭素管230を介して第2の他の圧力チャンバー30Bに接続される。二酸化炭素管230は、二酸化炭素ポンプ218と第2の他の圧力チャンバー30Bとの間に配置された二酸化炭素ヒーター220を有する。圧力チャンバーヒーター204は、第2の他の圧力チャンバー30Bに接続される。循環ポンプ210は、循環ループ208に配置される。循環ループ208は、循環入口232及び循環出口234にて第2の他の圧力チャンバー30Bに接続される。化学物質供給容器222は、化学物質供給管236を介して循環ループ208に接続される。リンス物質供給容器224は、化学物質供給管238を介して循環ループ208に接続される。分離容器214は、排ガス管240を介して第2の他の圧力チャンバー30Bに接続される。液体/固体廃棄物容器217は、分離容器214に接続される。
【0041】
分離容器214は、戻りガス管241を介して液化/浄化装置219に接続されることが好ましい。液化/浄化装置219は、液体二酸化炭素管243を介して二酸化炭素供給容器216に接続されることが好ましい。選択的に、液化/浄化装置219は、離れた場所に配置され、ガス捕集容器内の排ガスを受容して液体二酸化炭素容器内の液体二酸化炭素を戻す。
【0042】
圧力チャンバーヒーター204は、第2の他の圧力チャンバー30Bを加熱する。好ましくは、圧力チャンバーヒーター204は加熱用ブランケットである。選択的に、圧力チャンバーヒーターは他の種類のヒーターである。
【0043】
好ましくは、第1及び第2のフィルター221及び223が循環ループ208に接続される。第1フィルター221は微細なフィルターを有することが好ましい。より好ましくは、第1フィルター221は0.05μm以上の粒子を除去するように構成された微細なフィルターを有する。第2フィルター223は粗いフィルターを有することが好ましい。より好ましくは、第2フィルター223は2〜3μm以上の粒子を除去するように構成された粗いフィルターを有する。好ましくは、第3フィルター225を介して二酸化炭素供給容器216が二酸化炭素ポンプ218に接続される。第3フィルター225は微細なフィルターを有することが好ましい。より好ましくは、第3フィルター225は0.05μm以上の粒子を除去するように構成された微細なフィルターを有する。
【0044】
超臨界処理モジュール200が、超臨界液体処理システムにおいて典型的なフックアップ、バルブ及び制御電子装置を有することは、当業者には直ちに明らかであろう。さらに、他の注入ノズル66Aが、他のチャンバーハウジング12Aの一部としてよりもむしろ他のウエハープラテン34Bの一部として構成可能であることは、当業者には直ちに明らかであろう。
【0045】
超臨界処理モジュールは、作動時はフォトレジスト及びフォトレジスト残渣を半導体ウエハー46から除去するために使用されることが好ましい。超臨界処理モジュール200を用いたフォトレジスト除去処理には、配置ステップ、洗浄処理、リンス処理及び取り出しステップが含まれる。
【0046】
配置ステップにおいては、半導体ウエハー46は他のウエハープラテン34B上に配置され、次に他のウエハープラテン34Bは他のチャンバーハウジング12Aに向けて動かされ、他のウエハープラテン34Bが他のチャンバーハウジング12Aに封着され、故にウエハーキャビティ44Aが形成される。
【0047】
洗浄処理は、第1から第4の処理ステップを有する。第1処理ステップにおいて、他のウエハーキャビティ44Aは、二酸化炭素ポンプ218によって所定の超臨界条件に加圧される。第2処理ステップにおいては、第1注入ポンプ226が化学物質供給容器222から化学物質供給管及び循環ループ208を介して他のウエハーキャビティ44A内に溶剤を輸送する。所定の超臨界条件が達せられたら、二酸化炭素ポンプは他のウエハーキャビティ44Aの加圧を停止する。所定の溶剤濃度が達せられたら、第1注入ポンプ226は溶剤の注入を停止する。第3処理ステップにおいて、循環ポンプ210は、フォトレジスト及びフォトレジスト残渣が半導体ウエハーから除去されるまで、他のウエハーキャビティ44A及び循環ループ208を通して超臨界二酸化炭素及び溶剤を循環させる。第4処理ステップにおいて、他のウエハーキャビティ44Aは、臨界圧力より高い圧力を維持しながら内容物の一部を排出し、次に他のウエハーキャビティ44Aは二酸化炭素ポンプ218によって再加圧されて、臨界圧力より高い圧力を維持しながら再び内容物の一部を排出する。
【0048】
リンス処理は、第4から第7の処理ステップを有する。第4処理ステップにおいて、他のウエハーキャビティは二酸化炭素ポンプ218によって加圧される。第5処理ステップにおいて、第2注入ポンプ228がリンス物質供給容器224からリンス物質供給管238及び循環ループ208を介して他のウエハーキャビティ44A内にリンス物質を輸送する。所定のリンス物質濃度が達せられたら、第2注入ポンプ228はリンス物質の注入を停止する。第6処理ステップにおいて、循環ポンプ210は、予め定めた時間だけ、他のウエハーキャビティ44A及び循環ループ208を通して超臨界二酸化炭素及びリンス物質を循環させる。第7処理ステップにおいて、他のウエハーキャビティ44Aは減圧される。選択的に、第5及び第6のステップは不要の場合がある。
【0049】
取り出しステップにおいて、他のウエハープラテン34Bはロード/アンロード位置215に動かされ、その場所で半導体は他のウエハープラテン34Bから取り出される。
【0050】
好ましくは、少なくとも2つの本発明の超臨界処理モジュールが複数の被加工物処理システムの一部を形成し、それにより少なくとも2つの半導体ウエハーの同時処理が可能になる。複数の被加工物処理システムは、2000年11月1日出願のUS特許出願09/704,642号に教示され、該出願はこの参照によりその全体が開示されるものである。選択的に、本発明の超臨界処理モジュールは、非超臨界処理モジュールとともに、多重処理が可能な半導体処理システムの一部を形成する。多重処理が可能な半導体処理システムは、2000年11月1日出願のUS特許出願09/704,641号に教示され、該出願はこの参照によりその全体が開示されるものである。さらに選択的に、本発明の超臨界処理モジュールは、本発明の超臨界処理モジュールを1つ用いた独立型の超臨界処理システムの一部を形成する。
【0051】
本発明の第3の他の圧力チャンバーは、第2の他の圧力チャンバー34Bに加えて、半導体基板46の上方に表面を増強する特徴を備えた他のチャンバーハウジング12Aを有する。半導体基板46の上方にてより均一な分子速度を得るために、表面を増強する特徴の1つとして、他のウエハーキャビティ44Aの外径から他のウエハーキャビティ44Aの中心までの高さが変化している。好ましくは、その高さ変化は、他のウエハーキャビティ34Bの外径が高点、及び他のウエハーキャビティ34Bの中心が低点となっており、他のウエハーキャビティ34Bの中心においてより限定的な空間を提供する。選択的に、その高さ変化は、他のウエハーキャビティ34Bの外径が高点、他のウエハーキャビティ34Bの外径と中心との間が低点、及び他のウエハーキャビティ34Bの中心が中位点となっている。
【0052】
本発明の好適な圧力チャンバー30A及び第1〜第3の他の圧力チャンバーが超臨界条件を下回る高圧の処理に適していることは、当業者には直ちに明らかであろう。
【0053】
添付の特許請求の範囲に定められた本発明の精神及び範囲から逸脱せずに、好適な実施形態として他の様々な変形が可能であることは、当業者には直ちに明らかであろう。
【図面の簡単な説明】
【図1】 本発明の圧力チャンバーフレームの図である。
【図2】 本発明の第1の他の圧力チャンバーの図である。
【図3】 本発明の第1の他の圧力チャンバーの断面図である。
【図4A】 本発明のスペーサー/注入リングの図である。
【図4B】 本発明のスペーサー/注入リングの図である。
【図5】 本発明のウエハーキャビティ及び2ポート出口の図である。
【図6】 本発明の好適な圧力チャンバーの図である。
【図7A】 本発明の上方プラテンの図である。
【図7B】 本発明の上方プラテンの図である。
【図7C】 本発明の上方プラテンの図である。
【図8A】 本発明の圧力チャンバーフレーム、スペーサー/注入リング及びウエハープラテン組体の図である。
【図8B】 本発明の圧力チャンバーフレーム、スペーサー/注入リング及びウエハープラテン組体の図である。
【図8C】 本発明の圧力チャンバーフレーム、スペーサー/注入リング及びウエハープラテン組体の図である。
【図8D】 本発明の圧力チャンバーフレーム、スペーサー/注入リング及びウエハープラテン組体の図である。
【図8E】 本発明の圧力チャンバーフレーム、スペーサー/注入リング及びウエハープラテン組体の図である。
【図8F】 本発明の圧力チャンバーフレーム、スペーサー/注入リング及びウエハープラテン組体の図である。
【図9】 本発明の超臨界処理モジュール及び第2の他の圧力チャンバーの図である。

Claims (9)

  1. 半導体基板を超臨界処理するための高圧チャンバーであって、
    a. 第1シール面を有する上蓋を備えたチャンバーハウジングと、
    b. 前記半導体基板が載置されかつ前記第1シール面に対向配置された第2シール面を有するプラテンと、
    c. 作動時には、前記半導体基板を配置するために前記プラテンを前記チャンバーハウジングから分離し、さらに作動時には、前記プラテンの前記第2シール面と前記上蓋の前記第1シール面とが前記半導体基板の周りに高圧処理チャンバーを形成するように、前記プラテンを前記チャンバーハウジングに接続するように構成された、液流体の圧力により駆動する機械式駆動装置と、
    を有する高圧チャンバー。
  2. 前記上蓋の前記第1シール面がOリング溝を有する請求項1に記載の高圧チャンバー。
  3. 前記Oリング溝の中にOリングをさらに有する請求項2に記載の高圧チャンバー。
  4. 前記プラテンの前記第2シール面がOリング溝を有する請求項1に記載の高圧チャンバー。
  5. 前記Oリング溝の中にOリングをさらに有する請求項4に記載の高圧チャンバー。
  6. 前記上蓋の前記第1シール面がスペーサーに封着され、さらに前記プラテンの前記第2シール面が前記スペーサーに封着される請求項1に記載の高圧チャンバー。
  7. 前記機械式駆動装置が、液圧キャビティの上方に配置されるピストンを有する請求項1に記載の高圧チャンバー。
  8. 半導体基板を超臨界処理するための高圧チャンバーであって、
    a. チャンバーハウジングと、
    b. 前記半導体基板を保持するための部位を有するプラテンと、
    c. 作動時には、前記半導体基板を配置するために前記プラテンを前記チャンバーハウジングから分離するように、前記プラテンを前記チャンバーハウジングに接続する、液流体の圧力により駆動する機械式駆動装置と、
    d. 前記チャンバーハウジングに接続されたシール手段であって、作動時には、前記機械式駆動装置によって前記シール手段、前記プラテン及び前記チャンバーハウジングが前記半導体基板の周りに高圧処理チャンバーを形成するようになっているシール手段と、
    を有する高圧チャンバー。
  9. 半導体基板を超臨界処理するための装置であって、
    a. 圧力チャンバーフレームと、
    b. 前記圧力チャンバーフレームに接続されるとともに、液流体の圧力により駆動するピストン本体及びピストンネックを有し、前記圧力チャンバーフレーム及び前記ピストン本体が第1の流体キャビティを形成する、ピストンと、
    c. 前記圧力チャンバーフレームに接続されるとともに、前記圧力チャンバーフレーム、前記ピストン本体及び前記ピストンネックと協働して第2の流体キャビティを形成する、シールプレートと、
    d. 前記圧力ピストンネックに接続されるとともに、前記半導体基板を保持するための部位及び第2シール面を有するプラテンと、
    e. 前記圧力チャンバーフレームに接続されるとともに、第1シール面を有する上蓋であって、作動時には、前記プラテンの第2シール面と前記上蓋の第1シール面とが高圧処理チャンバーを形成するように構成されている、上蓋と、
    を有する装置。
JP2002514758A 2000-07-26 2001-07-24 半導体基板のための高圧処理チャンバー Expired - Fee Related JP4724353B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US22088300P 2000-07-26 2000-07-26
US60/220,883 2000-07-26
US28313201P 2001-04-10 2001-04-10
US60/283,132 2001-04-10
PCT/IB2001/001761 WO2002009147A2 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate

Publications (2)

Publication Number Publication Date
JP2004508699A JP2004508699A (ja) 2004-03-18
JP4724353B2 true JP4724353B2 (ja) 2011-07-13

Family

ID=26915269

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002514758A Expired - Fee Related JP4724353B2 (ja) 2000-07-26 2001-07-24 半導体基板のための高圧処理チャンバー

Country Status (7)

Country Link
US (2) US6921456B2 (ja)
EP (1) EP1303870A2 (ja)
JP (1) JP4724353B2 (ja)
KR (1) KR100750018B1 (ja)
AU (1) AU2001290171A1 (ja)
TW (1) TW505963B (ja)
WO (1) WO2002009147A2 (ja)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2387373A1 (en) * 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
US20040003831A1 (en) * 2000-04-18 2004-01-08 Mount David J. Supercritical fluid cleaning process for precision surfaces
KR100777892B1 (ko) * 2001-04-10 2007-11-21 동경 엘렉트론 주식회사 반도체 기판 처리용 고압 챔버
JP3511514B2 (ja) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
US6951765B1 (en) 2001-12-12 2005-10-04 Novellus Systems, Inc. Method and apparatus for introduction of solid precursors and reactants into a supercritical fluid reactor
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6905556B1 (en) 2002-07-23 2005-06-14 Novellus Systems, Inc. Method and apparatus for using surfactants in supercritical fluid processing of wafers
US6884737B1 (en) 2002-08-30 2005-04-26 Novellus Systems, Inc. Method and apparatus for precursor delivery utilizing the melting point depression of solid deposition precursors in the presence of supercritical fluids
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
DE10255231B4 (de) * 2002-11-26 2006-02-02 Uhde High Pressure Technologies Gmbh Hochdruckvorrichtung zum Verschließen eines Druckbehälters im Reinraum
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7392815B2 (en) * 2003-03-31 2008-07-01 Lam Research Corporation Chamber for wafer cleaning and method for making the same
EP1609174B1 (en) * 2003-03-31 2011-11-30 Lam Research Corporation Chamber and method for wafer processing
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7153388B2 (en) * 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
JP2008511138A (ja) 2004-08-18 2008-04-10 ニュー ウエイ マシーン コンポーネント インコーポレイティッド 空気軸受と段差ポンプ溝を備えた移動真空チャンバステージ
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP5144069B2 (ja) * 2006-12-26 2013-02-13 オルガノ株式会社 超臨界流体による処理装置
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
US7665917B2 (en) * 2007-03-30 2010-02-23 Tokyo Electron Limited Heat treatment apparatus and methods for thermally processing a substrate using a pressurized gaseous environment
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
CN102116835B (zh) * 2009-11-06 2014-12-03 东京毅力科创株式会社 探测装置以及衬底运送方法
KR101049771B1 (ko) * 2010-02-26 2011-07-19 서강대학교산학협력단 밀폐 구조의 고압 용기
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor
US20130133701A1 (en) * 2011-11-28 2013-05-30 Intermolecular, Inc. Method and apparatus for dispensing an inert gas
KR102064552B1 (ko) 2013-03-26 2020-01-10 삼성전자주식회사 기판 처리 장치
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
CN105336723B (zh) 2014-07-28 2018-09-14 通用电气公司 半导体模块、半导体模块组件及半导体装置
US9920425B2 (en) * 2014-08-13 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US10711348B2 (en) * 2015-03-07 2020-07-14 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US9624430B2 (en) * 2015-05-14 2017-04-18 The Boeing Company Methods and apparatuses for selective chemical etching
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR102358561B1 (ko) * 2017-06-08 2022-02-04 삼성전자주식회사 기판 처리 장치 및 집적회로 소자 제조 장치
US20190352774A1 (en) * 2018-05-21 2019-11-21 Applied Materials, Inc. Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
KR102452714B1 (ko) 2021-12-23 2022-10-07 주식회사 에이치피에스피 고압 및 진공공정 병행 챔버장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3681171A (en) 1968-08-23 1972-08-01 Hitachi Ltd Apparatus for producing a multilayer printed circuit plate assembly
US3623627A (en) 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
US4145161A (en) 1977-08-10 1979-03-20 Standard Oil Company (Indiana) Speed control
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
DE3110341C2 (de) 1980-03-19 1983-11-17 Hitachi, Ltd., Tokyo Verfahren und Vorrichtung zum Ausrichten eines dünnen Substrats in der Bildebene eines Kopiergerätes
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) * 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4952306A (en) * 1989-09-22 1990-08-28 Exxon Research And Engineering Company Slurry hydroprocessing process
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) * 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE69133413D1 (de) 1990-05-07 2004-10-21 Canon Kk Substratträger des Vakuumtyps
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) * 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) * 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
US5259731A (en) 1991-04-23 1993-11-09 Dhindsa Jasbir S Multiple reciprocating pump system
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5242641A (en) * 1991-07-15 1993-09-07 Pacific Trinetics Corporation Method for forming filled holes in multi-layer integrated circuit packages
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
DE9112761U1 (ja) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) * 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5252041A (en) 1992-04-30 1993-10-12 Dorr-Oliver Incorporated Automatic control system for diaphragm pumps
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5339844A (en) * 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
KR100251873B1 (ko) 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE69523208T2 (de) 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
EP0791093B1 (en) * 1994-11-09 2001-04-11 R.R. STREET & CO., INC. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (de) * 1994-12-08 1996-06-20 Abel Gmbh & Co Doppelmembranpumpe
JPH08186140A (ja) * 1994-12-27 1996-07-16 Toshiba Corp 樹脂封止型半導体装置の製造方法および製造装置
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
AU6541596A (en) * 1995-06-16 1997-01-15 University Of Washington Microfabricated differential extraction device and method
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US6062853A (en) * 1996-02-29 2000-05-16 Tokyo Electron Limited Heat-treating boat for semiconductor wafers
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
JPH09303557A (ja) * 1996-05-21 1997-11-25 Kobe Steel Ltd 高圧容器の密封装置
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) * 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
KR19980015757A (ko) * 1996-08-23 1998-05-25 김광호 반도체 장치의 콘택홀 매립방법
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JP4246804B2 (ja) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 加熱・加圧処理装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
JP3764247B2 (ja) * 1997-05-27 2006-04-05 株式会社神戸製鋼所 板状物の加圧処理装置
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
IL147986A0 (en) * 1999-08-05 2002-09-12 S C Fluids Inc Inverted pressure vessel with horizontal throug loading
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
CA2387373A1 (en) * 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
IL154749A0 (en) * 2000-09-07 2003-10-31 Cmb Ind Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置

Also Published As

Publication number Publication date
KR20030017648A (ko) 2003-03-03
TW505963B (en) 2002-10-11
US6921456B2 (en) 2005-07-26
US20050000651A1 (en) 2005-01-06
WO2002009147A3 (en) 2002-07-18
AU2001290171A1 (en) 2002-02-05
WO2002009147A2 (en) 2002-01-31
KR100750018B1 (ko) 2007-08-16
JP2004508699A (ja) 2004-03-18
US20020046707A1 (en) 2002-04-25
US7255772B2 (en) 2007-08-14
EP1303870A2 (en) 2003-04-23

Similar Documents

Publication Publication Date Title
JP4724353B2 (ja) 半導体基板のための高圧処理チャンバー
US6722642B1 (en) High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6508259B1 (en) Inverted pressure vessel with horizontal through loading
JP4621400B2 (ja) 半導体基板処理装置
US20030232512A1 (en) Substrate processing apparatus and related systems and methods
US6589361B2 (en) Configurable single substrate wet-dry integrated cluster cleaner
US6899111B2 (en) Configurable single substrate wet-dry integrated cluster cleaner
JP4047727B2 (ja) 流体流れを強化した半導体基板用高圧プロセスチャンバ
KR101071603B1 (ko) 웨이퍼 처리를 위한 챔버 및 관련 방법
JP4024799B2 (ja) 基板処理容器
CN115705999A (zh) 基板处理方法
KR100904462B1 (ko) 기판 처리 설비 및 기판 처리 방법
US20230044888A1 (en) Method and apparatus for treating substrate
CN100565782C (zh) 用于半导体衬底的高压处理室
CN115706000A (zh) 基板处理方法
JPH04212421A (ja) 半導体ウェーハの表面処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100917

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110405

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110411

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees