KR20030017648A - 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치 - Google Patents

반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치 Download PDF

Info

Publication number
KR20030017648A
KR20030017648A KR10-2003-7001002A KR20037001002A KR20030017648A KR 20030017648 A KR20030017648 A KR 20030017648A KR 20037001002 A KR20037001002 A KR 20037001002A KR 20030017648 A KR20030017648 A KR 20030017648A
Authority
KR
South Korea
Prior art keywords
high pressure
processing
pressure chamber
platen
chamber
Prior art date
Application number
KR10-2003-7001002A
Other languages
English (en)
Other versions
KR100750018B1 (ko
Inventor
비버거맥시밀리언알버트
레이맨프레드릭폴
숫톤토마스로버트
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20030017648A publication Critical patent/KR20030017648A/ko
Application granted granted Critical
Publication of KR100750018B1 publication Critical patent/KR100750018B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Abstract

고압 챔버는 챔버 하우징, 플래튼 및 기계적인 구동 장치를 포함한다. 챔버 하우징은 제 1 밀봉 표면을 포함한다. 플래튼은 반도체 기판을 고정하기 위한 영역과 제 2 밀봉 표면을 포함한다. 기계적인 구동 장치는 플래튼을 챔버 하우징에 연결시킨다. 작동시에, 기계적인 구동 장치는 반도체 기판의 장전을 위해 플래튼을 챔버 하우징과 분리시킨다. 다른 작동시에, 기계적인 구동 장치는 플래튼의 제 2 밀봉 표면과 챔버 하우징의 제 1 밀봉 표면이 반도체 기판 둘레에서 고압 처리 챔버를 형성하도록 한다.

Description

반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의 고압 처리를 위한 장치{HIGH PRESSURE PROCESSING CHAMBER FOR SEMICONDUCTOR SUBSTRATE}
반도체 기판의 처리는 다른 소재의 처리와 연관이 없는 특유한 문제점을 나타낸다. 일반적으로, 반도체 처리는 실리콘 웨이퍼에서 시작한다. 반도체 처리는 실리콘 웨이퍼를 도핑하여 트랜지스터 반도체를 형성하는 것에서 시작한다. 그 후, 반도체 처리는 라인 및 비아(vias)의 에칭이 이루어진 금속 및 유전체 층의 침착을 계속하여 트랜지스터 접점 및 상호 연결 구조체를 형성한다. 궁극적으로 반도체 처리에 있어서, 트랜지스터 반도체, 트랜지스터 접점 및 상호 연결부는 집적 회로를 형성한다.
반도체 기판의 처리를 위한 중요한 처리 요건은 청결이다. 대부분의 반도체 처리는 본질적으로 청정 환경인 진공에서 이루어진다. 다른 반도체 처리는 대기압하의 습윤 처리에서 실시되는데, 이는 습윤 처리의 린싱 특성이 기본적으로 청정처리이기 때문이다. 예를 들면, 라인 및 비아의 에칭에 이어지는 포토레지스트 및 포토레지스트 찌꺼기(residue)의 제거는 플라즈마 애싱, 스트립퍼 조(stripper bath)에서 스트립핑 후 진공 처리, 및 습윤 처리를 사용한다.
반도체 기판의 처리를 위한 다른 중요한 처리 요구는 스루풋 및 신뢰성을 포함한다. 반도체 기판의 제조 공정은 반도체 제조 설비에서 실시된다. 반도체 제조 설비는 처리 장비, 설비 자체 및 이를 작동시키기 위한 직원에 대해 막대한 자금을 필요로 한다. 이러한 비용의 회수 및 이 설비로부터 충분한 수입을 얻기 위해서, 처리 장치는 한번의 주기에서 충분히 다수의 웨이퍼의 스루풋을 요구한다. 또한 처리 장치는 이 설비로부터 계속적인 수입을 보장하기 위해 신뢰성있는 공정을 조장하여야 한다.
최근까지, 플라즈마 애싱 및 스트립퍼 조는 반도체 처리에서 포토레지스트 및 포토레지스트 찌꺼기의 제거에 대해 충분한 것으로 밝혀졌다. 그러나, 집적 회로에 대한 최근의 진보는 스트립퍼 조를 견딜 수 있는 충분한 구조를 갖는 치수보다 작은 에칭 특성 임계 치수 및 플라즈마 애싱의 산소 분위기에 견딜 수 없는 낮은 유전 상수 재료를 포함한다.
최근에, 초임계 처리를 갖는 포토레지스트 및 포토레지스트 찌꺼기의 제거를 위해 플라즈마 애싱 및 스트립퍼 조를 대체하는데 대한 관심이 발달하였다. 그러나, 현존하는 초임계 처리 시스템의 고압 처리 챔버는 반도체 처리 요구의 특정 필요를 충족시키지 못한다.
따라서, 반도체 처리의 청정 요구도를 만족시키는 반도체 처리용 고압 처리챔버가 요구된다.
또한, 반도체 처리의 스루풋 요구를 만족시키는 반도체 처리용 고압 처리 챔버가 요구된다.
또한, 반도체 처리의 신뢰성 요구를 만족시키는 반도체 처리용 고압 처리 챔버가 요구된다.
발명의 요약
본 발명은 반도체 기판의 처리를 위한 고압 챔버에 관한 것이다. 고압 챔버는 챔버 하우징, 플래튼 및 기계적인 구동 장치를 포함한다. 챔버 하우징은 제 1 밀봉 표면을 포함한다. 플래튼은 반도체 기판을 고정하기 위한 영역과, 제 2 밀봉 표면을 포함한다. 기계적인 구동 장치는 플래튼을 챔버 하우징에 연결시킨다. 작동시에, 기계적인 구동 장치는 반도체 기판의 장전을 위해 플래튼을 챔버 하우징으로부터 분리시킨다. 다른 작동시에, 기계적인 구동 장치는 플래튼의 제 2 밀봉 표면과 챔버 하우징의 제 1 밀봉 표면이 반도체 기판 둘레에 고압 처리 챔버를 형성하도록 한다.
본 발명은 고압 처리 분야, 특히 반도체 기판의 고압 처리 분야에 관한 것이다.
도 1은 본 발명의 압력 챔버 프레임을 도시하는 도면,
도 2는 본 발명의 제 1 변형 압력 챔버를 도시하는 도면,
도 3은 본 발명의 제 1 변형 압력 챔버의 단면도,
도 4a 및 도 4b는 본 발명의 스페이서/분사 링을 도시하는 도면,
도 5는 본 발명의 웨이퍼 캐비티 및 2개의 출구 포트를 도시하는 도면,
도 6은 본 발명의 바람직한 압력 챔버를 도시하는 도면,
도 7a 내지 도 7c는 본 발명의 상측 플래튼을 도시하는 도면,
도 8a 내지 도 8f는 본 발명의 압력 챔버 프레임, 스페이서/분사 링, 및 웨이퍼 플래튼 조립체를 도시하는 도면,
도 9는 본 발명의 초임계 처리 모듈 및 제 2 변형 압력 챔버를 도시하는 도면.
본 발명의 바람직한 압력 챔버는 반도체 웨이퍼의 초임계 처리를 위해 바람직하게 사용된다. 바람직하게, 이 바람직한 압력 챔버는 초임계 처리 모듈의 일부를 형성한다. 바람직하게, 초임계 처리 모듈은 반도체 웨이퍼로부터 포토레지스트를 제거하도록 사용된다. 변형 실시예에서, 초임계 처리 모듈은 포토레지스트 성장과 같은 반도체 웨이퍼의 다른 초임계 처리를 위해 사용된다.
본 발명의 압력 챔버 프레임이 도 1에 도시되어 있다. 압력 챔버 프레임(10)은 압력 챔버 하우징 부분(12), 개방/폐쇄 하우징 부분(14), 웨이퍼 슬릿(16), 윈도우(18), 포스트(19), 상측 개구(20) 및 볼드 구멍(22)을 포함한다. 웨이퍼 슬릿(16)은 300㎜ 웨이퍼에 대해 바람직하게 크기 설정된다. 변형 실시예에서, 웨이퍼 슬릿(16)은 보다 큰 또는 보다 작은 웨이퍼에 대해 크기 설정된다. 다른 변형 실시예에서, 웨이퍼 슬릿(16)은 퍽(puck)과 같은 웨이퍼 이외의 반도체기판에 대해 크기 설정된다.
압력 챔버 프레임(10)의 개방/폐쇄 하우징 부분(14)은 윈도우(18)를 포함하며, 이 윈도우는 바람직한 압력 챔버의 조립 및 분해에 대한 액세스를 제공한다. 바람직하게는, 4개의 윈도우(18)가 있으며, 이들은 압력 챔버 프레임(10)의 측면상에 위치된다. 바람직하게는, 각각의 윈도우(18)는 2개의 포스트(19)에 의한 측면, 압력 챔버 하우징 부분(12)에 의한 상부, 및 베이스(23)에 의한 바닥상에 형성된다. 압력 챔버 하우징 부분(12)의 볼트 구멍(22)은 상측 두껑을 압력 챔버 프레임(10)에 볼트 체결하기 위한 것이다.
본 발명의 바람직한 압력 챔버를 상술하기 전에, 본 발명의 특징을 간단히 상술하기 위해 제 1 변형 압력 챔버가 기술된다.
본 발명의 제 1 변형 압력 챔버는 도 2에 도시되어 있다. 제 1 변형 압력 챔버(30)는 압력 챔버 프레임(10), 상측 뚜껑(32), 웨이퍼 플래튼(34), 실린더(36) 및 밀봉 플레이트(38)를 포함한다. 상측 뚜껑(32)은 바람직하게는 볼트(도시되지 않음)에 의해 압력 챔버 프레임(10)에 연결된다. 웨이퍼 플래튼(34)은 실린더(36)에 연결된다. 실린더(36)는 피스톤(도시되지 않음)에 연결된다. 밀봉 플레이트(38)는 피스톤을 대기로부터 밀봉한다.
당업자는 패스너가 웨이퍼 플래튼(34)을 실린더(36)에 연결시키고, 실린더(36)를 피스톤에 연결시키며, 밀봉 플레이트(38)를 압력 챔버 프레임(10)에 연결시킨다고 하는 것을 쉽게 알 수 있다. 또한, 당업자는 상측 뚜껑(32)을 압력 챔버 프레임(10)에 바람직하게 연결시키는 볼트가 나사와 같은 다른 패스너 또는압력 챔버 프레임(10)과 상측 뚜껑(32)의 나사체결로 대체될 수 있음을 쉽게 알 수 있다.
폐쇄된 구조의 제 1 변형 압력 챔버(30)의 단면도가 도 3에 도시되어 있다. 제 1 변형 압력 챔버(30)는 압력 챔버 프레임(10), 상측 뚜껑(32), 웨이퍼 플래튼(34), 실린더(36), 밀봉 플레이트(38), 피스톤(40) 및 스페이서/분사 링(42)을 포함한다. 바람직하게는, 압력 챔버 프레임(10), 상측 뚜껑(32), 웨이퍼 플래튼(34), 실린더(36), 밀봉 플레이트(38), 피스톤(40) 및 스페이서/분사 링(42)은 스테인리스 강으로 구성된다. 스페이서/분사 링(42), 상측 뚜껑(32) 및 웨이퍼 플래튼(34)은 웨이퍼 캐비티(44)를 형성한다. 웨이퍼 캐비티(44)는 제 1, 제 2 및 제 3 O-링 홈(48, 50, 52)에 위치된 제 1, 제 2 및 제 3 O-링(도시되지 않음)에 의해 바람직하게 밀봉된다. 압력 챔버 프레임(10) 및 밀봉 플레이트(38)는 이 밀봉 플레이트(38)를 관통해 연장하는 피스톤 네크(neck)(56)를 지나치는 피스톤 몸체(54)를 둘러싼다. 피스톤 네크(56)는 실린더(36)에 연결되고, 그 후 실린더는 웨이퍼 플래튼(34)에 연결된다.
압력 챔버 프레임(10) 및 피스톤 몸체(56)는 피스톤 몸체(56) 아래에 유압 캐비티(58)를 형성한다. 압력 챔버 프레임(10), 밀봉 플레이트(38), 피스톤 몸체(54), 및 이 피스톤 몸체(54)의 바로 위에 있는 피스톤 네크(56)는 피스톤 몸체(54)와 밀봉 플레이트(38) 사이에 공압 캐비티(60)를 형성한다.
당업자는 피스톤 몸체(54)와 압력 챔버 프레임(10) 사이의 피스톤 시일은 유압 캐비티(58)를 공압 캐비티(60)로부터 분리시킨다는 것을 쉽게 알 수 있다. 또한, 당업자는 피스톤 네크(56)와 밀봉 플레이트(38) 사이의 네크 시일, 및 밀봉 플레이트(38)와 압력 챔버 프레임(10) 사이의 플레이트 시일은 공압 캐비티(60)를 대기와 분리시킨다는 것을 쉽게 알 수 있다. 또한, 당업자는 작동시에 유압식 및 공압식 유체 시스템(이들 양자는 본 기술 분야에 공지되어 있음)이 각기 유압 캐비티(58) 및 공압 캐비티(60)에 연결됨을 쉽게 알 수 있다.
초임계 처리에 있어서, 반도체 웨이퍼(46)는 웨이퍼 캐비티(44)를 점유하며, 이 웨이퍼 캐비티에서 초임계 유체가 반도체 웨이퍼(46)로부터 포토레지스트를 제거하기 위한 용매와 연계하여 바람직하게 사용된다. 웨이퍼 캐비티(44)의 초임계 처리 및 대기압과의 통기 후에, 유압 캐비티(58)내의 유압 유체는 감압되는 반면 공압 캐비티(60)는 가스에 의해 약간 가압되며, 이는 피스톤(40)을 아래로 이동시킨다. 이러한 것은 웨이퍼 플래튼(34)을 하강시켜 반도체 웨이퍼(46)가 슬릿(16)에 인접하게 된다. 그 후 웨이퍼(46)는 슬릿(16)을 통해 제거된다. 바람직하게는, 반도체 웨이퍼는 로봇(도시되지 않음)에 의해 제거된다. 변형 실시예에서, 반도체 웨이퍼(46)는 기술자에 의해 제거된다.
그 후 제 2 반도체 웨이퍼는 슬릿(16)을 통해 웨이퍼 플래튼(34)상에 장전된다. 그 후, 공압 캐비티(60)는 대기압에 통기되는 반면 유압 캐비티(58)는 유압 유체에 의해 가압되며, 이는 웨이퍼 플래튼(34)을 스페이서/분사 링(42)내로 이동시켜, 웨이퍼 캐비티(44)를 재형성한다. 그 후 웨이퍼 캐비티(44)는 가압되고, 초임계 유체 및 용매는 제 2 웨이퍼로부터 포토레지스트를 제거한다.
당업자는 초임계 처리동안 유압 캐비티(58)내의 유압 유체는 초임계 유체에의해 야기되는 웨이퍼 플래튼(34)상의 하방으로 향하는 힘보다 큰 상측으로 향하는 힘을 발생시키는 유압을 유지해야 함을 쉽게 알 수 있다.
본 발명의 스페이서/분사 링(42)은 도 4a에 또한 도시되어 있다. 스페이서/분사 링은 환형체(64)와 분사 노즐(66)을 구비하는 링 몸체(62)를 포함한다. 바람직하게는, 스페이서/분사 링(42)은 12인치보다 약간 큰 내경을 가지며, 이는 300㎜ 웨이퍼에 대해 크기 설정된다. 변형 실시예에 있어서, 스페이서/분사 링(42)은 보다 큰 또는 보다 작은 내경을 갖는다. 바람직하게는, 스페이스/분사 링은 45개의 분사 노즐(66)을 갖는다. 변형 실시예에 있어서, 스페이서/분사 링은 보다 많은 또는 보다 적은 수의 분사 노즐(66)을 갖는다. 바람직하게는, 각각의 분사 노즐(66)은 스페이서/분사 링(42)의 내경의 반경에 대해 45°로 배향된다. 변형 실시예에 있어서, 분사 노즐은 보다 큰 각도 또는 보다 작은 각도로 배향된다. 바람직하게는, 스페이서/분사 링(42)은 0.200 인치의 두께를 갖는다. 변형 실시예에 있어서, 스페이서/분사 링(42)은 보다 큰 또는 보다 작은 두께를 갖는다.
스페이서/분사 링(42)의 단면은 링 몸체(62), 환형체(64) 및 분사 노즐(66)중 하나를 나타내는 도 4b에 도시되어 있다. 바람직하게는, 환형체(64)는 0.160 인치의 폭과 0.110 인치의 높이를 갖는 직사각형 단면을 갖는다. 바람직하게는, 분사 노즐(66) 각각은 0.028 인치의 직경을 갖는다. 스페이서/분사 링(42)의 환형체(64) 및 분사 노즐(66)은 웨이퍼 캐비티(44)내로 유입되는 초임계 유체용 통로를 형성한다(도 3). 초임계 처리에 있어서, 초임계 유체는 우선 초임계 유체용 저장용기로서 작용하는 환형체(64)내로 유입된다. 그 후 초임계 유체는 분사 노즐(66)에 의해 웨이퍼 캐비티(44)내로 분사되며, 이는 웨이퍼 캐비티(44)내에 보텍스를 발생시킨다(도 3).
본 발명의 웨이퍼 캐비티(44) 및 2개의 출구 포트는 도 5에 도시되어 있다. 변형적인 상측 뚜껑(32A), 웨이퍼 플래튼(34) 및 스페이서/분사 링(42)에 의해 형성된 웨이퍼 캐비티(44)는 2개의 출구 포트(70)를 통해 바람직하게 배출된다. 2개의 출구 포트(70)는 셔틀 편(shuttle piece)(72)을 포함하며, 이 셔틀 편은 제 1 위치(74)와 제 2 위치(76) 사이에 교호된다. 셔틀 편(72)을 제 1 위치와 제 2 위치 사이에서 교호시킴으로써, 스페이서/분사 링(42)에 의해 형성된 보텍스의 중심은 제 1 배출 포트(78)와 제 2 배출 포트(80) 사이에서 교호된다. 바람직하게는, 제 1 배출 포트(78)와 제 2 배출 포트(80)는 0.50 인치의 직경을 가지며, 1.55 인치의 거리만큼 떨어진 중심을 갖는다. 변형 실시예에 있어서, 직경 및 거리는 본 발명의 특정 실시에 따라 보다 크거나 보다 작다.
작동시에, 초임계 유체(82)는 스페이서/분사 링(42)의 환형체(64)내로 들어가고, 웨이퍼 캐비티(44)내에 보텍스를 발생시키고, 변형 실시예에 있어서 셔틀 편이 제 1 위치(74)에서 제 2 위치(76)로 이동함에 따라 제 1 배출 포트(78) 및 제 2 배출 포트(80)에 근접한 제 1 및 제 2 보텍스 중심을 형성한다. 그 후 유출되는 초임계 유체(84)는 2개의 출구 포트(70)를 빠져나간다. 이러한 방법에 있어서, 반도체 웨이퍼(46)의 전체 표면의 초임계 처리는 보장된다.
당업자는 스페이서/분사 링(42)의 분사 노즐(66)과 2개의 출구 포트(70)가 게이트 밸브를 통해 반도체 기판을 반입 및 반출시키는 일반적인 압력 챔버에 일체화될 수 있음을 쉽게 알 수 있다. 또한, 당업자는 반도체 기판에 대한 특정한 초임계 처리에 따라, 특정한 초임계 처리가 적절한 처리를 위한 보텍스를 필요로 하지 않기 때문에 스페이서/분사 링(42)이 필요없을 수 있음을 쉽게 알 수 있다. 또한, 당업자는 2개의 출구 포트(70)의 셔틀 편(72)이 보다 일반적인 밸브 구성으로 대체될 수 있음을 쉽게 알 수 있다.
본 발명의 바람직한 압력 챔버는 도 6에 도시되어 있다. 바람직한 압력 챔버(30A)는 압력 챔버 프레임(10), 변형적인 상측 뚜껑(32A), 웨이퍼 플래튼 조립체(34A), 밀봉 플레이트(38), 변형적인 피스톤(40A) 및 공압 실린더(86)를 포함한다. 웨이퍼 플래튼 조립체(34A)는 하측 플래튼(88), 상측 플래튼(90) 및 베어링대(pedestal)(92)를 포함한다. 변형적인 피스톤(40A)은 변형적인 피스톤 몸체(54A)와 변형적인 피스톤 네크(56A)를 포함한다.
변형적인 피스톤 네크(56A)는 공압 실린더(86)가 변형적인 피스톤(40A)에 연결되는 중공형 중앙부를 포함한다. 피스톤 네크(56A)는 이 피스톤 네크(56A)의 상측에서 하측 플래튼(88)에 연결된다. 하측 플래튼(88)은 이 하측 플래튼(88)의 상측 표면에서 상측 플래튼(90)에 연결된다. 하측 플래튼(88) 및 상측 플래튼(90)은 이 하측 및 상측 플래튼(88, 90)의 중앙에서 베어링대(92)에 연결된다. 베어링대(92)는 이 베어링대(92)의 하측 단부에서 공압 실린더(86)에 연결된다. 베어링대(92)는 진공 포트(94)를 포함하고, 이 진공 포트는 베어링대 진공 척(96)에 대해 진공을 제공한다.
당업자는 바람직한 압력 챔버(30A)가 진공 포트(94)에 대한 진공 라인과 공압 실린더(86)에 대한 고압 라인을 포함함을 쉽게 알 수 있다.
상측 플래튼(90)의 평면도가 도 7a에 도시되어 있다. 상측 플래튼(90)은 제 4 O-링 홈(100), 제 5 O-링 홈(102), 제 1 진공 홈(104) 및 제 2 진공 홈(106)을 포함한다. 작동시, 제 4 및 제 5 O-링은 제 4 및 제 5 O-링 홈(100, 102)을 점유한다.
상측 플래튼(90) 일부의 단면이 도 7b에 도시되어 있다. 이 단면은 제 4 및 제 5 O-링 홈(100, 102), 제 1 및 제 2 진공 홈(104, 106), 및 제 2 진공 포트(108)를 포함한다. 작동시, 제 2 진공 포트(108)는 진공 펌프에 연결되어 제 1 및 제 2 진공 홈(104, 106)에 진공이 가해진다. 따라서, 제 4 O-링 홈(100) 및 제 1 진공 홈(104)은 하측 플래튼(88) 및 베어링대(92)와 연계하여 진공 척을 형성한다(도 6). 제 5 O-링 홈(102) 및 제 2 진공 홈은 진공 척에 중복성을 부과하여 제 4 O-링 홈(100)을 통과하는 누출은 진공 척이 기능하는 것을 방지할 수 없다. 또한 중복성은 반도체 웨이퍼(46)에 대한 배면 보호를 제공한다(도 6).
상측 플래튼(90)의 바닥 표면은 도 7c에 더욱 도시되어 있다. 바람직하게는, 바닥 표면(90)은 저항성 가열 요소 홈(110)을 포함한다. 바람직하게는 작동시, 저항성 가열 요소는 저항성 가열 요소 홈(110)을 점유하여 웨이퍼 캐비티(44) 및 반도체 웨이퍼(46)의 가열을 돕는다(도 6).
상측 플래튼(90)은 300㎜ 웨이퍼에 순응하도록 바람직하게 크기 설정된다. 변형적인 상측 플래튼은 상측 플래튼(90) 대신에 사용될 수 있으며, 변형적인 상측 플래튼은 300㎜ 웨이퍼와는 다른 사이즈의 웨이퍼, 예를 들면 200㎜ 웨이퍼에 순응하도록 크기 설정된 제 4 및 제 5 O-링 홈(100, 102), 및 제 1 및 제 2 진공 홈을 구비한다. 따라서, 웨이퍼 플래튼 조립체(34A)를 바람직한 압력 챔버(30A)로 대체하기 보다는(도 6), 상이한 사이즈의 웨이퍼를 순응시키기 위해 상측 플래튼(90)만이 대체될 필요가 있다.
바람직한 압력 챔버(30A)의 압력 챔버 프레임(10), 변형적인 상측 뚜껑(32A), 스페이서/분사 링(42) 및 웨이퍼 플래튼 조립체(34A)가 도 8a 내지 도 8f에 더욱 도시되어 있다. 웨이퍼 플래튼 조립체(34A)는 하측 플래튼(88), 상측 플래튼(90) 및 베어링대(92)를 포함한다. 하측 플래튼은 제 6 및 제 7 O-링(도시되지 않음)용 제 6 및 제 7 O-링 홈(112, 114)을 포함하며, 이 홈은 상측 플래튼(90)에 대한 상측 플래튼(88) 및 베어링대(92)를 밀봉한다. 또한 하측 플래튼(88)은 진공 펌프를 제 2 진공 포트(108)에 연결시키는 제 3 진공 포트(도시되지 않음)를 포함한다(도 7b).
도 8a에서, 웨이퍼 플래튼 조립체(34A)는 폐쇄 위치에 있으며 웨이퍼 캐비티(44)는 비어 있다. 도 8b에서, 변형적인 피스톤(40A)(도 6)은 웨이퍼 플래튼 조립체(34A)를 장전 위치로 하강시켰다. 도 8c에서, 로봇 단부 작동체(116)는 반도체 웨이퍼(46)를 바람직한 압력 챔버(30A)내로 이동시킨다. 도 8d에서, 베어링대(92)는 공기 실린더(86)(도 6)에 의해 구동되어 반도체 웨이퍼(46)를 로봇 단부 작동체(116)로부터 상승시키고 이 로봇 단부 작동체(116)는 바람직한 압력 챔버(30A)로부터 후퇴된다. 베어링대(92)가 반도체 웨이퍼(46)를 로봇 단부 작동체로부터 상승시킴으로써 제 1 진공 포트(94)를 통해 진공이 가해져 반도체웨이퍼(46)가 베어링대 진공 척(96)에 고정된다.
도 8e에서, 베어링대(92)는 공기 실린더(86)에 의해 하강되어 베어링대(92)의 하측 표면이 제 7 O-링 홈(114)에서 하측 플래튼(88)에 밀봉된다. 베어링대(92)가 하측 플래튼(88)에 도달함에 따라, 제 1 및 제 2 진공 홈(104, 106)에 진공이 가해져, 반도체 웨이퍼(46)를 상측 플래튼(90)에 고정시킨다. 도 8f에서, 변형적인 피스톤(40A)은 웨이퍼 플래튼 조립체(34A)를 상승시켜 웨이퍼 캐비티(44)가 상측 플래튼(90)과 스페이서/분사 링(42) 사이에서 밀봉된다.
본 발명의 제 2 변형적인 압력 챔버를 일체화하는 본 발명의 초임계 처리 모듈이 도 9에 도시되어 있다. 초임계 처리 모듈(200)은 제 2 변형적인 압력 챔버(30B), 압력 챔버 가열기(204), 이산화탄소 공급 장치(206), 순환 루프(208), 순환 펌프(210), 화학약제 및 린스제 공급 장치(212), 분리 용기(214), 액체/고체 부산물 수집 용기(217) 및 액화/정화 장치(219)를 포함한다.
제 2 변형적인 압력 챔버(30B)는 변형적인 압력 챔버 하우징(12A)과 변형적인 웨이퍼 플래튼(34B)을 포함한다. 변형적인 압력 챔버 하우징(12A) 및 변형적인 웨이퍼 플래튼(34B)은 반도체 기판(46)용 변형적인 웨이퍼 캐비티(44A)를 형성한다. 변형적인 압력 챔버 하우징(12A)은 변형적인 분사 노즐(66A)을 포함한다. 바람직하게는, 변형적인 웨이퍼 플래튼(34A)은 유압력을 사용하여 변형적인 압력 챔버 하우징(12A)에 대해 고정된다. 변형 실시예에 있어서, 변형적인 웨이퍼 플래튼(34B)은 기계적인 클램핑력을 사용하여 변형적인 압력 챔버 하우징(12A)에 대해 고정된다. 바람직하게는, 변형적인 웨이퍼 플래튼(34B)은 유압력을 해제함으로써 장전/언로딩 위치(215)로 이동한다. 변형 실시예에 있어서, 변형적인 웨이퍼 플래튼(34B)은 기계적인 클램핑력의 해제시 장전/언로딩 위치(215)로 이동한다. 다른 변형 실시예에 있어서, 변형적인 웨이퍼 플래튼(34B)은 변형적인 웨이퍼 플래튼(34B)에 연결된 구동 나사를 작동시킴으로써 또는 공압력(pneumatic force)을 사용함으로써 장전/언로딩 위치(215)로 이동한다.
이산화탄소 공급 장치(206)는 이산화탄소 공급 용기(216), 이산화탄소 펌프(218) 및 이산화탄소 가열기(220)를 포함한다. 화학약제 및 린스제 공급 장치(212)는 화학약품 공급 용기(222), 린스제 공급 용기(224), 및 제 1 및 제 2 고압 분사 펌프(226, 228)를 포함한다.
이산화탄소 공급 용기(216)는 이산화탄소 펌프(218) 및 이산화탄소 배관(230)을 통해 제 2 변형적인 압력 챔버(30B)로 연결된다. 이산화탄소 배관(230)은 이산화탄소 펌프(218)와 제 2 변형적인 압력 챔버(30B) 사이에 위치된 이산화탄소 가열기(220)를 포함한다. 압력 챔버 가열기(204)는 제 2 변형적인 압력 챔버(30B)에 연결된다. 순환 펌프(210)는 순환 루프(208)상에 위치된다. 순환 루프(208)는 순환 입구(232) 및 순환 출구(234)에서 제 2 변형적인 압력 챔버(30B)에 연결된다. 화학약품 공급 용기(222)는 화학약품 공급 라인(236)을 통해 순환 루프(208)에 연결된다. 린스제 공급 용기(224)는 린스제 공급 라인(238)을 통해 순환 루프(208)에 연결된다. 분리 용기(214)는 배출 가스 배관(240)을 통해 제 2 변형적인 압력 챔버(30B)에 연결된다. 액체/고체 부산물 수집 용기(217)는 분리 용기(214)에 연결된다.
분리 용기(214)는 복귀 가스 배관(241)을 통해 액화/정화 장치(219)에 바람직하게 연결된다. 액화/정화 장치(219)는 액체 이산화탄소 배관(243)을 통해 이산화탄소 공급 용기(216)에 바람직하게 연결된다. 변형 실시예에 있어서, 이격 위치에 액화/정화 장치(219)를 내장하며, 이 장치는 가스 수집 용기내에 배출 가스를 수납하고 액체 이산화탄소를 액체 이산화탄소 용기내에 복귀시킨다.
압력 챔버 가열기(204)는 제 2 변형적인 압력 챔버(30B)를 가열시킨다. 바람직하게는, 압력 챔버 가열기(204)는 가열 블랭킷(blanket)이다. 변형 실시예에 있어서, 압력 챔버 가열기는 몇몇 다른 형태의 가열기이다.
바람직하게는, 제 1 및 제 2 필터(221, 223)는 순환 루프(208)에 연결된다. 바람직하게는, 제 1 필터(221)는 조밀한 필터를 포함한다. 보다 바람직하게는, 제 1 필터(221)는 0.05㎛ 및 그 보다 큰 입자를 거르도록 구성된 조밀한 필터를 포함한다. 바람직하게는, 제 2 필터(223)는 성긴 필터를 포함한다. 보다 바람직하게는, 제 2 필터(223)는 2㎛ 내지 3㎛ 및 그 보다 큰 입자를 거르도록 구성된 성기 필터를 포함한다. 바람직하게는, 제 3 필터(225)는 이산화탄소 공급 용기(216)를 이산화탄소 펌프(218)에 연결시킨다. 바람직하게는, 제 3 필터(225)는 조밀한 필터를 포함한다. 보다 바람직하게는, 제 3 필터(225)는 0.05㎛ 및 그 보다 큰 입자를 거르도록 구성된 조밀한 필터를 포함한다.
초임계 처리 모듈(200)이 밸브 장치, 제어 전자 장치, 및 대체로 초임계 유체 처리 시스템인 유틸리티 후크업(utility hookups)을 포함함을 당업자에 의해 쉽게 명백해질 수 있다. 또한, 변형적인 분사 노즐(66A)이 변형적인 챔버하우징(12A)의 부분이기 보다는 변형적인 웨이퍼 플래튼(34B)의 부분으로써 구성될 수 있음이 당업자에게 쉽게 명백해질 수 있다.
작동시, 초임계 처리 모듈은 반도체 웨이퍼(46)로부터 포토레지스트 및 포토레지스트 찌꺼기를 제거하기 위해 바람직하게 사용된다. 초임계 처리 모듈(200)을 사용한 포토레지스트 제거 공정은 장전 단계, 세정 절차, 헹굼 절차, 및 언로딩 단계를 포함한다.
장전 단계에서, 반도체 웨이퍼(46)가 변형적인 웨이퍼 플래튼(34B)상에 위치되고, 그 후 변형적인 웨이퍼 플래튼(34B)이 변형적인 웨이퍼 플래튼(34B)을 밀봉하는 변형적인 챔버 하우징(12A)에 대해 변형적인 챔버 하우징(12A)으로 이동되어, 변형적인 웨이퍼 캐비티(44A)를 형성한다.
세정 절차는 제 1 내지 제 4 처리 단계를 포함한다. 제 1 처리 단계에 있어서, 변형적인 웨이퍼 캐비티(44A)는 이산화탄소 펌프(218)에 의해 소정의 초임계 상태로 가압된다. 제 2 처리 단계에 있어서, 제 1 분사 펌프(226)는 용매를 화학약품 공급 라인 및 순환 루프(208)를 통해 화학약품 공급 용기(222)로부터 변형적인 웨이퍼 캐비티(44A)로 펌핑한다. 소정의 초임계 상태에 도달하면, 이산화탄소 펌프는 변형적인 웨이퍼 캐비티(44A)를 가압하는 것을 중단한다. 용매가 소정 농도에 도달하면, 제 1 분사 펌프(226)는 용매의 분사를 중단한다. 제 3 처리 단계에 있어서, 포토레지스트 및 포토레지스트 찌꺼기가 반도체 웨이퍼로부터 제거될 때까지 순환 펌프(210)는 변형적인 웨이퍼 캐비티(44A) 및 순환 루프(208)를 통해 초임계 이산화탄소 및 용매를 순환시킨다. 제 4 처리 단계에 있어서, 웨이퍼 캐비티(44A)는 부분적으로 배기되는 반면 압력은 임계 압력 이상으로 유지되며, 그 후 변형적인 웨이퍼 캐비티(44A)는 이산화탄소 펌프(218)에 의해 재가압되고 부분적으로 다시 배기되는 반면 압력은 임계 압력 이상으로 유지된다.
헹굼 절차는 제 4 내지 제 7 처리 단계를 포함한다. 제 4 처리 단계에 있어서, 변형적인 웨이퍼 캐비티는 이산화탄소 펌프(218)에 의해 가압된다. 제 5 처리 단계에 있어서, 제 2 분사 펌프(228)는 린스제 공급 라인(238) 및 순환 루프(208)를 통해 린스제를 린스제 공급 용기(224)로부터 변형적인 웨이퍼 캐비티(44A)내로 펌핑한다. 린스제가 소망의 농도에 도달했을 때, 제 2 분사 펌프(228)는 린스제의 분사를 중단한다. 제 6 처리 단계에 있어서, 순환 펌프(210)는 소정 시간동안 변형적인 웨이퍼 캐비티(44A) 및 순환 루프(208)를 통해 초임계 이산화탄소 및 린스제를 순환시킨다. 제 7 처리 단계에 있어서, 변형적인 웨이퍼 캐비티(44A)는 감압된다. 변형 실시예에 있어서, 제 5 및 제 6 처리 단계가 필요하지 않음을 알 수 있다.
언로딩 단계에 있어서, 변형적인 웨이퍼 플래튼(34B)은 반도체가 변형적인 웨이퍼 플래튼(34B)으로부터 이동되는 장전/언로딩 위치(215)로 이동된다.
바람직하게는, 본 발명의 적어도 2개의 초임계 처리 모듈은 다수의 소재 처리 시스템의 부분을 형성하며, 이는 적어도 2개의 반도체 웨이퍼에 대해 동시 처리 가능성을 제공한다. 다수의 소재 처리 시스템은 2000년 11월 1일자로 출원된 미국 특허 출원 제 09/704,642 호에 개시되어 있으며, 이의 전체 개시 내용은 참조로서 본원에 인용된다. 변형 실시예에 있어서, 본 발명의 초임계 처리 모듈은 비초임계처리 모듈과 함께 다수의 처리 반도체 처리 시스템의 부분을 형성한다. 다수의 처리 반도체 처리 시스템은 2000년 11월 1일자로 출원된 미국 특허 출원 제 09/704, 641 호에 개시되어 있으며, 이의 전체 개시 내용은 참조로서 본원에 인용된다. 다른 변형 실시예에 있어서, 본 발명의 초임계 처리 모듈은 본 발명의 단일 초임계 처리 모듈을 사용하는 독립하여 조작이 가능한 초임계 처리 시스템의 부분을 형성한다.
본 발명의 제 3 변형적인 압력 챔버는 제 2 변형적인 압력 챔버(34B)에 덧붙여 반도체 기판(46) 위에 변형적인 챔버 하우징(12A)의 표면 강화 특징부를 포함한다. 반도체 기판(46) 위에 보다 균일한 분자 속도를 제공하기 위해, 표면 강화 특징부는 변형적인 웨이퍼 캐비티(44A)의 외경에서 변형적인 웨이퍼 캐비티(44A)의 중심까지의 높이 변화를 갖는다. 바람직하게는, 높이 변화는 변형적인 웨이퍼 캐비티(34B)의 외경에서의 높은 지점과 변형적인 웨이퍼 캐비티(34B)의 중심에서의 낮은 지점을 가져서 웨이퍼 캐비티(34B)의 중심에 보다 제한적인 공간을 제공한다. 변형 실시예에 있어서, 높이 변화는 변형적인 웨이퍼 캐비티(34B)의 외경에서의 높은 지점과, 변형적인 웨이퍼 캐비티(34B)의 외경과 중심 사이의 낮은 지점과, 변형적인 웨이퍼 캐비티(34B)의 중심에서의 중간 지점을 포함한다.
당업자는 바람직한 압력 챔버(30A) 및 본 발명의 제 1 내지 제 3 변형적인 압력 챔버가 초임계 상태 이하의 고압 처리에 대해 적절함을 쉽게 알 수 있다.
당업자는 첨부된 특허청구범위에 의해 규정된 바와 같은 본 발명의 정신 및 범위를 벗어남이 없이 다른 다양한 변형이 바람직한 실시예에 이루어질 수 있음을쉽게 알 수 있다.

Claims (17)

  1. 반도체 기판의 처리를 위한 고압 챔버에 있어서,
    ⓐ 제 1 밀봉 표면을 구비하는 챔버 하우징과,
    ⓑ 상기 반도체 기판과 제 2 밀봉 표면을 고정하기 위한 영역을 구비하는 플래튼과,
    ⓒ 상기 플래튼을 상기 챔버 하우징에 연결하는 기계적인 구동 장치로서, 작동시 상기 기계적인 구동 장치는 상기 반도체 기판의 장전을 위해 상기 챔버 하우징으로부터 상기 플래튼을 분리시키고, 또한 작동시 상기 기계적인 구동 장치는 상기 플래튼의 제 2 밀봉 표면과 상기 챔버 하우징의 제 1 밀봉 표면이 상기 반도체 기판 둘레에 고압 처리 챔버를 형성하도록 하는, 상기 기계적인 구동 장치를 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  2. 제 1 항에 있어서,
    상기 챔버 하우징의 제 1 밀봉 표면은 O-링 홈을 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  3. 제 2 항에 있어서,
    상기 O-링 홈내에 O-링을 더 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  4. 제 1 항에 있어서,
    상기 플래튼의 제 2 밀봉 표면은 O-링 홈을 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  5. 제 4 항에 있어서,
    상기 O-링 홈내에 O-링을 더 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  6. 제 1 항에 있어서,
    상기 챔버 하우징의 제 1 밀봉 표면은 스페이서에 밀봉되고, 상기 플래튼의 제 2 밀봉 표면은 상기 스페이서에 밀봉되는
    반도체 기판의 처리를 위한 고압 챔버.
  7. 제 1 항에 있어서,
    상기 기계적인 구동 장치는 유체에 의해 구동되는 피스톤을 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  8. 제 7 항에 있어서,
    상기 유체는 비압축성 유체를 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  9. 제 7 항에 있어서,
    상기 유체는 압축성 유체를 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  10. 제 1 항에 있어서,
    상기 기계적인 구동 장치는 전기-기계 구동 장치를 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  11. 제 10 항에 있어서,
    상기 전기-기계 구동 장치는 선형 액추에이터를 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  12. 제 11 항에 있어서,
    상기 선형 액추에이터는 구동 나사를 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  13. 제 1 항에 있어서,
    상기 챔버 하우징 및 상기 플래튼에 연결된 기계적인 클램프를 더 포함하여 작동시 상기 기계적인 클램프가 처리동안 고압 처리 챔버를 유지시키는
    반도체 기판의 처리를 위한 고압 챔버.
  14. 반도체 기판의 처리를 위한 고압 챔버에 있어서,
    ⓐ 챔버 하우징과,
    ⓑ 상기 반도체 기판을 고정하기 위한 영역을 구비하는 플래튼과,
    ⓒ 상기 플래튼을 상기 챔버 하우징에 연결시키는 기계적인 구동 장치로서, 작동시 상기 기계적인 구동 장치는 상기 반도체 기판의 장전을 위해 상기 챔버 하우징으로부터 상기 플래튼을 분리시키는, 상기 기계적인 구동 장치와,
    ⓓ 상기 챔버 하우징에 연결된 밀봉 수단으로서, 작동시 상기 기계적인 구동 장치는 상기 밀봉 수단, 상기 플래튼 및 상기 챔버 하우징이 상기 반도체 기판 둘레에 고압 처리 챔버를 형성하도록 하는, 상기 밀봉 수단을 포함하는
    반도체 기판의 처리를 위한 고압 챔버.
  15. 반도체 기판의 고압 처리를 위한 장치에 있어서,
    ⓐ 압력 챔버 프레임과,
    ⓑ 상기 압력 챔버 프레임에 연결되고, 피스톤 몸체와 피스톤 네크를 구비하는 피스톤으로서, 상기 압력 챔버 프레임과 상기 피스톤 몸체가 제 1 유체 캐비티를 형성하는, 상기 피스톤과,
    ⓒ 상기 압력 챔버 프레임에 연결되고, 상기 압력 챔버 프레임, 상기 피스톤 몸체 및 상기 피스톤 네크와 연계하여 제 2 유체 캐비티를 형성하는 밀봉 플레이트와,
    ⓓ 상기 피스톤 네크에 연결되고, 상기 반도체 기판과 제 1 밀봉 표면을 고정하기 위한 영역을 포함하는 플래튼과,
    ⓔ 상기 압력 챔버 프레임에 연결되고, 제 2 밀봉 표면을 포함하는 상측 뚜껑으로서, 상기 플래튼의 제 1 밀봉 표면과 상기 상측 뚜껑의 제 2 밀봉 표면은 작동시 상기 제 1 및 제 2 밀봉 표면이 고압 처리 챔버를 형성하도록 구성된, 상기 상측 뚜껑을 포함하는
    반도체 기판의 고압 처리를 위한 장치.
  16. 제 15 항에 있어서,
    작동시 상기 고압 처리 챔버는 초임계 상태에서 작동하는
    반도체 기판의 고압 처리를 위한 장치.
  17. 제 15 항에 있어서,
    작동시 상기 고압 처리 챔버는 초임계 상태 이하에서 작동하는
    반도체 기판의 고압 처리를 위한 장치.
KR1020037001002A 2000-07-26 2001-07-24 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치 KR100750018B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US22088300P 2000-07-26 2000-07-26
US60/220,883 2000-07-26
US28313201P 2001-04-10 2001-04-10
US60/283,132 2001-04-10
PCT/IB2001/001761 WO2002009147A2 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate

Publications (2)

Publication Number Publication Date
KR20030017648A true KR20030017648A (ko) 2003-03-03
KR100750018B1 KR100750018B1 (ko) 2007-08-16

Family

ID=26915269

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037001002A KR100750018B1 (ko) 2000-07-26 2001-07-24 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치

Country Status (7)

Country Link
US (2) US6921456B2 (ko)
EP (1) EP1303870A2 (ko)
JP (1) JP4724353B2 (ko)
KR (1) KR100750018B1 (ko)
AU (1) AU2001290171A1 (ko)
TW (1) TW505963B (ko)
WO (1) WO2002009147A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101049771B1 (ko) * 2010-02-26 2011-07-19 서강대학교산학협력단 밀폐 구조의 고압 용기

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1234322A2 (en) * 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
US20040003831A1 (en) * 2000-04-18 2004-01-08 Mount David J. Supercritical fluid cleaning process for precision surfaces
JP4047727B2 (ja) * 2001-04-10 2008-02-13 東京エレクトロン株式会社 流体流れを強化した半導体基板用高圧プロセスチャンバ
JP3511514B2 (ja) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
US6951765B1 (en) 2001-12-12 2005-10-04 Novellus Systems, Inc. Method and apparatus for introduction of solid precursors and reactants into a supercritical fluid reactor
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6905556B1 (en) 2002-07-23 2005-06-14 Novellus Systems, Inc. Method and apparatus for using surfactants in supercritical fluid processing of wafers
US6884737B1 (en) 2002-08-30 2005-04-26 Novellus Systems, Inc. Method and apparatus for precursor delivery utilizing the melting point depression of solid deposition precursors in the presence of supercritical fluids
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
DE10255231B4 (de) * 2002-11-26 2006-02-02 Uhde High Pressure Technologies Gmbh Hochdruckvorrichtung zum Verschließen eines Druckbehälters im Reinraum
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7392815B2 (en) * 2003-03-31 2008-07-01 Lam Research Corporation Chamber for wafer cleaning and method for making the same
KR101121938B1 (ko) * 2003-03-31 2012-03-14 램 리써치 코포레이션 웨이퍼 처리를 위한 챔버 및 관련 방법
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7153388B2 (en) * 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
WO2006023595A2 (en) 2004-08-18 2006-03-02 New Way Machine Components, Inc. Moving vacuum chamber stage with air bearing and differentially pumped grooves
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP5144069B2 (ja) * 2006-12-26 2013-02-13 オルガノ株式会社 超臨界流体による処理装置
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
US7665917B2 (en) * 2007-03-30 2010-02-23 Tokyo Electron Limited Heat treatment apparatus and methods for thermally processing a substrate using a pressurized gaseous environment
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
CN102116835B (zh) * 2009-11-06 2014-12-03 东京毅力科创株式会社 探测装置以及衬底运送方法
DE102010056021B3 (de) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Düsenanordnung und CVD-Reaktor
US20130133701A1 (en) * 2011-11-28 2013-05-30 Intermolecular, Inc. Method and apparatus for dispensing an inert gas
KR102064552B1 (ko) 2013-03-26 2020-01-10 삼성전자주식회사 기판 처리 장치
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
CN105336723B (zh) 2014-07-28 2018-09-14 通用电气公司 半导体模块、半导体模块组件及半导体装置
US9920425B2 (en) * 2014-08-13 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US10711348B2 (en) * 2015-03-07 2020-07-14 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US9624430B2 (en) * 2015-05-14 2017-04-18 The Boeing Company Methods and apparatuses for selective chemical etching
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR102358561B1 (ko) * 2017-06-08 2022-02-04 삼성전자주식회사 기판 처리 장치 및 집적회로 소자 제조 장치
US20190352774A1 (en) * 2018-05-21 2019-11-21 Applied Materials, Inc. Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
KR102452714B1 (ko) 2021-12-23 2022-10-07 주식회사 에이치피에스피 고압 및 진공공정 병행 챔버장치

Family Cites Families (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3681171A (en) 1968-08-23 1972-08-01 Hitachi Ltd Apparatus for producing a multilayer printed circuit plate assembly
US3623627A (en) 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
US4145161A (en) 1977-08-10 1979-03-20 Standard Oil Company (Indiana) Speed control
JPS5448172A (en) 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
DE3110341C2 (de) 1980-03-19 1983-11-17 Hitachi, Ltd., Tokyo Verfahren und Vorrichtung zum Ausrichten eines dünnen Substrats in der Bildebene eines Kopiergerätes
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5213485A (en) 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4952306A (en) * 1989-09-22 1990-08-28 Exxon Research And Engineering Company Slurry hydroprocessing process
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
EP0456426B1 (en) 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (de) 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
US5259731A (en) 1991-04-23 1993-11-09 Dhindsa Jasbir S Multiple reciprocating pump system
US5195878A (en) 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5242641A (en) * 1991-07-15 1993-09-07 Pacific Trinetics Corporation Method for forming filled holes in multi-layer integrated circuit packages
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
DE9112761U1 (ko) 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5190373A (en) 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5252041A (en) 1992-04-30 1993-10-12 Dorr-Oliver Incorporated Automatic control system for diaphragm pumps
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5447294A (en) 1993-01-21 1995-09-05 Tokyo Electron Limited Vertical type heat treatment system
US5433334A (en) 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
DE69520687T2 (de) * 1994-11-09 2001-08-23 R R Street & Co Verfahren und system zur aufbereitung von unter druck stehenden flüssigen lösungsmitteln zur reinigung von substraten
US5505219A (en) 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (de) * 1994-12-08 1996-06-20 Abel Gmbh & Co Doppelmembranpumpe
JPH08186140A (ja) * 1994-12-27 1996-07-16 Toshiba Corp 樹脂封止型半導体装置の製造方法および製造装置
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
CA2222126A1 (en) * 1995-06-16 1997-01-03 Fred K. Forster Microfabricated differential extraction device and method
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
WO1997032339A1 (fr) * 1996-02-29 1997-09-04 Tokyo Electron Limited Nacelle de traitement thermique pour plaquette de semi-conducteur
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
JPH09303557A (ja) * 1996-05-21 1997-11-25 Kobe Steel Ltd 高圧容器の密封装置
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) * 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
KR19980015757A (ko) * 1996-08-23 1998-05-25 김광호 반도체 장치의 콘택홀 매립방법
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JP4246804B2 (ja) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 加熱・加圧処理装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
JP3764247B2 (ja) * 1997-05-27 2006-04-05 株式会社神戸製鋼所 板状物の加圧処理装置
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
AU6893600A (en) * 1999-08-05 2001-03-05 S. C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
EP1234322A2 (en) * 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
CN1216415C (zh) * 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
EP1315927A4 (en) * 2000-09-07 2005-06-01 Cmb Ind PRESSURE MINIATOR CHECKER WITH SHORT STRUCTURE
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101049771B1 (ko) * 2010-02-26 2011-07-19 서강대학교산학협력단 밀폐 구조의 고압 용기

Also Published As

Publication number Publication date
JP2004508699A (ja) 2004-03-18
KR100750018B1 (ko) 2007-08-16
US6921456B2 (en) 2005-07-26
WO2002009147A2 (en) 2002-01-31
JP4724353B2 (ja) 2011-07-13
US7255772B2 (en) 2007-08-14
US20050000651A1 (en) 2005-01-06
TW505963B (en) 2002-10-11
AU2001290171A1 (en) 2002-02-05
EP1303870A2 (en) 2003-04-23
WO2002009147A3 (en) 2002-07-18
US20020046707A1 (en) 2002-04-25

Similar Documents

Publication Publication Date Title
KR20030017648A (ko) 반도체 기판의 처리를 위한 고압 챔버 및 반도체 기판의고압 처리를 위한 장치
US6722642B1 (en) High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
KR100744888B1 (ko) 소재를 초임계 처리하기 위한 장치 및 방법
US6846380B2 (en) Substrate processing apparatus and related systems and methods
US6589361B2 (en) Configurable single substrate wet-dry integrated cluster cleaner
KR100777892B1 (ko) 반도체 기판 처리용 고압 챔버
KR20190041158A (ko) 기판 처리 장치 및 기판 처리 방법
KR20040037245A (ko) 다중 반도체 기판용 고압 처리 챔버
CN108962787B (zh) 基板处理装置和基板处理方法
US11915965B2 (en) Wafer processing method
CN100565782C (zh) 用于半导体衬底的高压处理室
CN117672926A (zh) 一种单叶式半导体基板清洗装置及清洗方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110630

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee