JP4638456B2 - ラッチアップ防止を有する調整可能なボディバイアス生成回路網 - Google Patents

ラッチアップ防止を有する調整可能なボディバイアス生成回路網 Download PDF

Info

Publication number
JP4638456B2
JP4638456B2 JP2007044383A JP2007044383A JP4638456B2 JP 4638456 B2 JP4638456 B2 JP 4638456B2 JP 2007044383 A JP2007044383 A JP 2007044383A JP 2007044383 A JP2007044383 A JP 2007044383A JP 4638456 B2 JP4638456 B2 JP 4638456B2
Authority
JP
Japan
Prior art keywords
body bias
power supply
transistor
signal
latch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007044383A
Other languages
English (en)
Other versions
JP2007243179A5 (ja
JP2007243179A (ja
Inventor
ペリセティ スリニバス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altera Corp filed Critical Altera Corp
Publication of JP2007243179A publication Critical patent/JP2007243179A/ja
Publication of JP2007243179A5 publication Critical patent/JP2007243179A5/ja
Application granted granted Critical
Publication of JP4638456B2 publication Critical patent/JP4638456B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0921Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • H03K19/00315Modifications for increasing the reliability for protection in field-effect transistor circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K2217/00Indexing scheme related to electronic switching or gating, i.e. not by contact-making or -breaking covered by H03K17/00
    • H03K2217/0018Special modifications or use of the back gate voltage of a FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computing Systems (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Description

(背景)
本発明は、ラッチアップ防止能力を有するボディバイアス生成回路網を用いて、調整可能なトランジスタボディバイアス信号を提供することに関する。
現代の集積回路の性能は、しばしば、電力消費を考慮することで制約される。回路の電力効率が悪いと、システム設計者には、望ましからぬ要求が課される。電力供給能力は、増加される必要があり得、熱管理問題は、対処される必要があり得、回路設計は、効率の悪い回路網に適応するように改造される必要があり得る。
集積回路は、相補型金属酸化物半導体(CMOS)トランジスタ技術を使用することが多い。CMOS集積回路は、nチャネル金属酸化物半導体(NMOS)およびpチャネル金属酸化物半導体(PMOS)トランジスタを有する。
NMOSおよびPMOS集積回路は、4つの端子(ドレイン、ソース、ゲートおよびボディ)を有する。ボディ端子は、ときどき、ウェルまたはバルク端子とも称され、バイアスされ得る。例えば、正のバイアス電圧は、PMOSトランジスタのボディに印加され得、マイナスのバイアス電圧は、NMOSトランジスタのボディに印加され得る。これらのバイアス電圧は、トランジスタの実効閾値電圧を増加させ、したがって、そのリーク電流を低減する。リーク電流の低減は、電力消費を削減する。
一般に使用されるCMOS集積回路トランジスタ構造において、ドープされた半導体領域は、寄生バイポーラトランジスタのペアを形成する。寄生バイポーラトランジスタが存在すると、CMOSトランジスタに、ラッチアップと称される望ましくない現象が生じやすくなる。ラッチアップ事象の間、フィードバック経路が、寄生バイポーラトランジスタの中に形成され、その結果、CMOSトランジスタが不適切に動作する。厳しい条件において、ラッチアップは、CMOSトランジスタに、持続的なダメージを与え得る。ラッチアップ問題は、ボディバイアスを使用する集積回路において、特に深刻である。
CMOS集積回路におけるラッチアップを防止する一つの方法は、ユーザに集積回路のパワーアップ制約を課すことである。このパワーアップ制約は、集積回路上の様々な電圧供給ピンが信号を受け得る順番を指定する。パワーアップの規則に厳密に従って、システムを設計することで、設計者は、集積回路がラッチアップを示さないだろうと確信し得る。
システム設計者に、パワーアップ制約を課すことは、常に受け入れられることではない。特定のアプリケーションにおいて、システムから集積回路を取り外して、制約のないシステムに新たに挿入することが可能であることが望ましい。システムの内外で使用される集積回路または集積回路のコンポーネントを交換するプロセスは、ときどき、ホットソケット(hot socket)と称される。ホットソケット互換性は、デバイスのシステム間での移動またはその断続的な使用が必要とされるアプリケーションにおいて、非常に望ましいことであるが、これは、パワーアップ制約を破る方向へと導き得る。
デバイスが、システムの中に挿入されるとき、電気的接続が、デバイス上のピンとシステム内のピンとの間で形成される。一般的に使用されるコネクタを用いると、様々なピンが互いに接触する順番を確保することはできない。その結果、集積回路上の電圧供給ピンが、システムからの信号を受ける順番は、事前に知られていないので、制御され得ない。ユーザが、電圧供給ピンを不適切な順番で接続を形成させるような方法で、デバイスをソケットの中に挿入するような事態が起これば、集積回路は、ラッチアップを経験し得る。
それゆえ、ラッチアップを防止している間に、集積回路上のトランジスタにボディバイアス信号を供給する方法を提供することは、望ましい。
(概要)
本発明に従うと、プログラマブルロジックデバイス集積回路のような集積回路で、ボディバイアス生成回路網を含む集積回路が提供される。この集積回路は、ボディバイアス端子を有する金属酸化物半導体トランジスタを含む。ボディバイアス生成回路網は、ボディバイアス経路上にボディバイアス信号を生成する。このボディバイアス経路は、ボディバイアス信号をボディ端子に分配する。
この集積回路は、電力供給信号(プラスの電力供給信号、このプラスの電力供給信号より大きい高電力供給信号、および、接地電力供給信号を含む)を受ける入出力ピンを有する。
ボディバイアス生成回路網は、ボディバイアス経路と接地電力供給端子との間に結合された調整可能な分圧器を含む。調整可能な分圧器は、直列接続された抵抗器チェーンを含む。関連トランジスタは、抵抗器チェーンにおける電圧タップ位置を規定するために使用される。トランジスタは、それぞれの制御ラインを介して提供される制御信号によって制御される。制御信号は、プログラマブル素子によって供給され得る。電圧タップ位置は、フィードバック電圧を規定する。ボディバイアス信号に対するフィードバック電圧の大きさは、制御信号を用いて調整され、調整可能な分圧器内のトランジスタをオンおよびオフにする。
フィードバック電圧は、演算増幅器の入力に提供される。演算増幅器は、フィードバック電圧をリファレンス電圧と比較し、対応する出力信号を生成する。演算増幅器からの出力信号は、pチャネル制御トランジスタのゲートに付与される。pチャネル制御トランジスタは、ボディバイアス経路と高電力供給端子との間に結合される。集積回路の通常の動作の間、出力信号は、pチャネル制御トランジスタを制御し、ボディバイアス経路上のボディバイアス信号の大きさを調節する。ボディバイアス信号のレベルは、調整可能な分圧器の設定を調整することで調整される。
ボディバイアス生成回路網は、能動ラッチアップ防止回路網を有する。能動ラッチアップ防止回路網は、プラスの電力供給端子とボディバイアス経路との間に結合されたpチャネルラッチアップ防止トランジスタを有する。潜在的なラッチアップ条件が検出されたとき、能動ラッチアップ防止回路網は、pチャネルラッチアップ防止トランジスタをオンにする。これによって、ボディバイアス経路がプラスの電力供給信号レベルによってクランプされ、ラッチアップを防止する。
pチャネル絶縁トランジスタは、pチャネル制御トランジスタとボディバイアス経路との間に接続される。潜在的なラッチアップ条件のある間、絶縁トランジスタは、オフにされる。これによって、ボディバイアス経路は、高電力供給端子(0ボルトである)から絶縁され、能動ラッチアップ防止回路網は、適切に動作することが可能になる。通常動作条件の間で、ラッチアップに対する可能性が存在しないとき、絶縁トランジスタは、オンにされる。絶縁トランジスタがオンになると、pチャネル制御トランジスタのドレインが、低抵抗経路を介してボディバイアス経路に電気的接続される。これは、ボディバイアス電圧が調節され得るようにするためである。
pチャネル絶縁トランジスタおよびpチャネル制御トランジスタは、ボディ端子を有する。制御回路網は、潜在的なラッチアップ条件のために、電力供給電圧をモニタする。潜在的なラッチアップ条件が検出されたとき、ラッチアップを防止するために、絶縁トランジスタおよび制御トランジスタのボディ端子には、プラスの電力供給電圧が提供される。潜在的なラッチアップ条件が存在しないとき、絶縁トランジスタおよび制御トランジスタのボディ端子には、高電力供給電圧が提供される。
本発明のさらなる特徴は、その性質および様々な利点とともに、添付図面と以下の詳細な説明から明らかになる。
本発明は、さらに、以下の手段を提供する。
(項目1)
pチャネル金属酸化物半導体トランジスタであって、該pチャネル金属酸化物半導体トランジスタのそれぞれが、ボディバイアス経路を介してボディバイアス信号を受けるボディ端子を有する、pチャネル金属酸化物半導体トランジスタと、
該ボディバイアス信号を該ボディバイアス経路に供給する調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網であって、該調整可能なpチャネル金属酸化物半導体トランジスタボディバイアス生成回路網は、
高電力供給端子と該ボディバイアス経路との間に結合されたpチャネル制御トランジスタと、
該pチャネル金属酸化物半導体トランジスタがラッチアップするのを防止するために、該ボディバイアス経路に接続された能動ラッチアップ防止回路と
を含む、調整可能なpチャネル金属酸化物半導体トランジスタボディバイアス生成回路網と
を備える、集積回路。
(項目2)
上記集積回路は、プラスの電力供給信号、該プラスの電力供給信号より大きい高電力供給信号、および、接地電力供給信号を該集積回路に供給する入出力ピンをさらに備え、
該高電力供給信号は、上記高電力供給端子に提供され、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、該電力供給信号をモニタし、潜在的なラッチアップ条件が存在するときと、潜在的なラッチアップ条件が存在しないときとを判断し、
該調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路内の上記pチャネル制御トランジスタは、上記ボディバイアス経路上のボディバイアス信号を調整するために制御されるゲート制御信号を受けるゲートを有し、
上記ラッチアップ防止回路は、該ボディバイアス経路と、該プラスの電力供給信号が該入出力ピンの1つから受けられるプラスの電力供給端子との間に結合されたpチャネルラッチアップ防止トランジスタを有する、項目1に記載の集積回路。
(項目3)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
制御信号に応答する調整可能な分圧器であって、該調整可能な分圧器は、上記ボディバイアス経路に結合され、該制御信号および該ボディバイアス経路上の上記ボディバイアス信号によって決定されるフィードバック電圧が生成されるノードを含む、調整可能な分圧器
をさらに備える、項目2に記載の集積回路。
(項目4)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
構成データがロードされ、対応する静的制御信号を生成するプログラマブル素子と、
該プログラマブル素子からの該静的制御信号によって制御される複数のトランジスタを含む調整可能な分圧器であって、該調整可能な分圧器は、上記ボディバイアス経路に結合され、フィードバック電圧が生成されるノードを含み、該フィードバック電圧は、該ボディバイアス経路上の上記ボディバイアス信号によって決定される大きさを有し、該大きさは、該複数のトランジスタのうちの該静的制御信号によってオンおよびオフされるトランジスタによって決定される、調整可能な分圧器と
をさらに備える、項目2に記載の集積回路。
(項目5)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記ボディバイアス経路からの調整可能なフィードバック信号を受け、それに応答して上記制御トランジスタに対する上記ゲート制御信号を生成する、演算増幅器
をさらに備える、項目2に記載の集積回路。
(項目6)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合された絶縁トランジスタ
をさらに備える、項目2に記載の集積回路。
(項目7)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタと、
制御回路網と
をさらに備え、該制御回路網は、上記電力供給信号をモニタし、上記潜在的なラッチアップ条件が存在するとき、高い制御信号を生成し、該pチャネル絶縁トランジスタをオフにし、該潜在的なラッチアップ条件が存在しないとき、低い制御信号を生成し、該pチャネル絶縁トランジスタをオンにする、項目2に記載の集積回路。
(項目8)
上記pチャネル制御トランジスタは、ボディ端子を有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、該pチャネル制御トランジスタの該ボディ端子に接続される出力を有する制御回路網をさらに備える、項目2に記載の集積回路。
(項目9)
上記pチャネル制御トランジスタは、ボディ端子を有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、該pチャネル制御トランジスタの該ボディ端子に接続される出力を有する制御回路網をさらに備え、
上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記高電力供給信号が提供される、項目2に記載の集積回路。
(項目10)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタであって、該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタのそれぞれは、ボディ端子を有する、pチャネル絶縁トランジスタと、
該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタの該ボディ端子に接続される出力を有する制御回路網であって、上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記高電力供給信号が提供される、制御回路網と
をさらに備える、項目2に記載の集積回路。
(項目11)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタであって、該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタのそれぞれは、ボディ端子を有する、pチャネル絶縁トランジスタと、
該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタの該ボディ端子に接続される出力を有する第一の制御回路網であって、上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該第一の制御回路網の出力には、該第一の制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該第一の制御回路網の出力には、該第一の制御回路網によって上記高電力供給信号が提供される、第一の制御回路網と、
上記電力供給信号をモニタし、該潜在的なラッチアップ条件が存在するとき、高い制御信号を生成し、該pチャネル絶縁トランジスタをオフにし、該潜在的なラッチアップ条件が存在しないとき、低い制御信号を生成し、該pチャネル絶縁トランジスタをオンにする、第二の制御回路網と
をさらに備える、項目2に記載の集積回路。
(項目12)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、制御回路網
をさらに備え、
該制御回路網は、上記電力供給信号をモニタし、上記潜在的なラッチアップ条件が存在するとき、上記pチャネルラッチアップ防止トランジスタをオンにして、上記ボディバイアス経路を上記プラスの電力供給信号でクランプする低い制御信号を生成し、該潜在的なラッチアップ条件が存在しないとき、該pチャネルラッチアップ防止トランジスタをオフにする高い制御信号を生成する、項目2に記載の集積回路。
(項目13)
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタであって、該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタのそれぞれは、ボディ端子を有する、pチャネル絶縁トランジスタと、
該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタの該ボディ端子に接続された出力を有する第一の制御回路網であって、上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該第一の制御回路網の出力には、該第一の制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該第一の制御回路網の出力には、該第一の制御回路網によって上記高電力供給信号が提供される、第一の制御回路網と、
第二の制御回路網であって、上記電力供給信号をモニタし、該潜在的なラッチアップ条件が存在するとき、高い制御信号を生成し、該pチャネル絶縁トランジスタをオフにし、該潜在的なラッチアップ条件が存在しないとき、低い制御信号を生成し、該pチャネル絶縁トランジスタをオンにする、第二の制御回路網と、
第三の制御回路網であって、該電力供給信号をモニタし、該潜在的なラッチアップ条件が存在するとき、上記pチャネルラッチアップ防止トランジスタをオンにして、上記ボディバイアス経路を上記プラスの電力供給信号でクランプする低い制御信号を生成し、該潜在的なラッチアップ条件が存在しないとき、該pチャネルラッチアップ防止トランジスタをオフにする高い制御信号を生成する、第三の制御回路網と
をさらに備える、項目2に記載の集積回路。
(項目14)
上記集積回路は、上記入出力ピンの1つから上記接地電力供給信号が付与される接地電力供給端子をさらに備え、
上記pチャネル制御トランジスタは、ボディ端子を有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、制御回路網をさらに備え、該制御回路網は、
上記高電力供給端子と該接地電力供給端子との間に結合された分圧器と、
第一のノードに接続され、該高電力供給端子と上記プラスの電力供給端子との間に直列結合された第一および第二のトランジスタであって、該第一のトランジスタはゲートを有する、第一および第二のトランジスタと、
該分圧器に接続されたゲートを有する第三のトランジスタであって、該第三のトランジスタは、第二のノード上の電圧を制御する、第三のトランジスタと、
該第二のノードと該第一のトランジスタの該ゲートとの間の導電経路と、
該第一のノードと該pチャネル制御トランジスタの該ボディ端子との間に接続された出力と
を有し、
上記潜在的なラッチアップ条件が存在するとき、該分圧器は、該第三のトランジスタをオフにし、該第二のノードを上記プラスの電力供給信号に取り込む第一の電圧を生成し、該第二のノード上の該プラスの電力供給信号は、該導電経路を介して該第一のトランジスタの該ゲートに伝達され、該第一のトランジスタの該ゲート上の該プラスの電力供給信号は、該第一のトランジスタをオフにする一方、該第二のトランジスタは、オンにされ、該出力を該プラスの電力供給信号に取り込み、
該潜在的なラッチアップ条件が存在しないとき、該分圧器は、該第三のトランジスタをオンにし、該第二のノードを上記接地電力供給信号に取り込む第二の電圧を生成し、該第二のノード上の該接地供電力供給信号は、該導電経路を介して該第一のトランジスタの該ゲートに伝達され、該第一のトランジスタの該ゲート上の該接地電力供給信号は、該第一のトランジスタをオンにする一方、該第二のトランジスタは、オフにされ、該出力を上記高電力供給信号に取り込む、項目2に記載の集積回路。
(項目15)
上記pチャネルラッチアップ防止トランジスタは、ゲートを備え、
上記集積回路は、上記入出力ピンの1つから上記接地電力供給信号が付与される接地電力供給端子をさらに備え、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、制御回路網をさらに備え、該制御回路網は、
第一のノードに接続され、上記ボディバイアス経路と上記接地電力供給端子との間に直列結合された第一の抵抗器のペアと、
第二のノードに接続され、上記プラスの電力供給端子と該接地電力供給端子との間に直列結合された第二の抵抗器のペアと、
該第一のノードに接続された第一の入力を有し、該第二のノードに接続された第二の入力を有し、比較器出力信号が提供される出力を有する比較器と、
該比較器出力信号をレベルシフトし、該pチャネルラッチアップ防止トランジスタの該ゲートに付与される制御信号を生成するレベルシフタであって、該比較器は、上記潜在的なラッチアップ条件が存在するとき、該pチャネルラッチアップ防止トランジスタをオンにして、該プラスの電力供給信号で該ボディバイアス経路をクランプする低い値の該比較器出力信号を生成し、該潜在的なラッチアップ条件が存在しないとき、該pチャネルラッチアップ防止トランジスタをオフにする高い値の該比較器制御信号を生成する、レベルシフタと
を含む、項目2に記載の集積回路。
(項目16)
上記集積回路は、上記入出力ピンの1つから上記接地電力供給信号が付与される接地電力供給端子をさらに備え、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタと、
制御回路網と
をさらに備え、該制御回路網は、
第一のノードに接続され、上記高電力供給端子と該接地電力供給端子との間に直列結合された第一の抵抗器のペアと、
第二のノードに接続され、上記プラスの電力供給端子と該接地電力供給端子との間に直列結合された第二の抵抗器のペアと、
該第一のノードに接続された第一の入力を有し、該第二のノードに接続された第二の入力を有し、比較器出力信号が提供される出力を有する比較器と
を含み、
上記潜在的なラッチアップ条件が存在するとき、該比較器は、該pチャネル絶縁トランジスタをオフにして、該ボディバイアス経路を該高電力供給端子から絶縁する高い値の該比較器出力信号を生成し、
該潜在的なラッチアップ条件が存在しないとき、該比較器は、該pチャネル絶縁トランジスタをオンにして、該ボディバイアス経路を該pチャネル制御トランジスタに接続する低い値の該比較器出力信号を生成する、項目2に記載の集積回路。
(項目17)
上記pチャネル制御トランジスタは、ゲートを有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
複数の制御信号ラインと、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタと、
リファレンス電圧を受ける第一の入力を有し、第二の入力を有し、出力を有する演算増幅器と、
該複数の制御信号ラインを介して提供される制御信号によって制御される複数の抵抗器および複数のトランジスタを有する調整可能な分圧器であって、該調整可能な分圧器は、該ボディバイアス経路に接続され、該ボディバイアス経路上の上記ボディバイアス信号に基づき、フィードバック電圧を生成するように調整される、調整可能な分圧器と、
該フィードバック電圧を該演算増幅器の該第二の入力に伝達するフィードバック経路であって、該演算増幅器の出力は、該pチャネル制御トランジスタの該ゲートに結合される、フィードバック経路と
をさらに備える、項目2に記載の集積回路。
(項目18)
上記pチャネル制御トランジスタは、ゲートを有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
構成データをロードされた複数のプログラマブル素子であって、該複数のプログラマブル素子は、複数の対応する静的出力信号を生成する、複数のプログラマブル素子と、
該プログラマブル素子に接続された複数の制御信号ラインと、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタと、
リファレンス電圧を受ける第一の入力を有し、第二の入力を有し、出力を有する演算増幅器と、
複数の抵抗器および複数のトランジスタを有する調整可能な分圧器であって、該分圧器内の該複数のトランジスタのそれぞれは、該制御ラインのうちのそれぞれの1つに接続されたゲートを有し、該制御ラインは、該静的出力信号を該分圧器内の該トランジスタの該ゲートに伝達し、該調整可能な分圧器を調整し、該調整可能な分圧器は、該ボディバイアス経路に接続され、該ボディバイアス経路上の上記ボディバイアス信号に基づき、フィードバック電圧を生成するように調整される、調整可能な分圧器と、
該フィードバック電圧を該演算増幅器の該第二の入力に伝達するフィードバック経路であって、該演算増幅器の該出力は、該pチャネル制御トランジスタの該ゲートに結合される、フィードバック経路と
をさらに備える、項目2に記載の集積回路。
(項目19)
上記pチャネル制御トランジスタは、ゲートを有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
構成データをロードされた複数のプログラマブル素子であって、該複数のプログラマブル素子は、複数の対応する静的出力信号を生成する、複数のプログラマブル素子と、
該プログラマブル素子に接続された複数の制御信号ラインと、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタであって、該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタのそれぞれは、ボディ端子を有する、pチャネル絶縁トランジスタと、
リファレンス電圧を受ける第一の入力を有し、第二の入力を有し、出力を有する演算増幅器と、
複数の抵抗器および複数のトランジスタを有する調整可能な分圧器であって、該分圧器内の該複数のトランジスタのそれぞれは、該制御ラインのうちのそれぞれの1つに接続されるゲートを有し、該制御ラインは、該静的出力信号を該分圧器内の該トランジスタの該ゲートに伝達し、該調整可能な分圧器を調整し、該調整可能な分圧器は、該ボディバイアス経路に接続され、該ボディバイアス経路上の上記ボディバイアス信号に基づき、フィードバック電圧を生成するように調整される、調整可能な分圧器と、
該フィードバック電圧を該演算増幅器の該第二の入力に伝達するフィードバック経路であって、該演算増幅器の該出力は、該pチャネル制御トランジスタの該ゲートに結合される、フィードバック経路と、
該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタの該ボディ端子に接続された出力を有する制御回路網であって、上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該出力には、該制御回路網によって上記高電力供給信号が提供される、制御回路網と
をさらに備える、項目2に記載の集積回路。
(項目20)
上記pチャネル制御トランジスタは、ゲートを有し、
上記調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
構成データをロードされた複数のプログラマブル素子であって、該複数のプログラマブル素子は、複数の対応する静的出力信号を生成する、複数のプログラマブル素子と、
該プログラマブル素子に接続された複数の制御信号ラインと、
上記pチャネル制御トランジスタと上記ボディバイアス経路との間に結合されたpチャネル絶縁トランジスタであって、該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタのそれぞれは、ボディ端子を有し、該pチャネル絶縁トランジスタは、ゲートを有する、pチャネル絶縁トランジスタと、
リファレンス電圧を受ける第一の入力を有し、第二の入力を有し、出力を有する演算増幅器と、
複数の抵抗器および複数のトランジスタを有する調整可能な分圧器であって、該分圧器内の該複数のトランジスタのそれぞれは、該制御ラインのうちのそれぞれの1つに接続されるゲートを有し、該制御信号ラインは、該静的出力信号を該分圧器内の該トランジスタの該ゲートに伝達し、該調整可能な分圧器を調整し、該調整可能な分圧器は、該ボディバイアス経路に接続され、該ボディバイアス経路上の上記ボディバイアス信号に基づき、フィードバック電圧を生成するように調整される、調整可能な分圧器と、
該フィードバック電圧を該演算増幅器の該第二の入力に伝達するフィードバック経路であって、該演算増幅器の該出力は、該pチャネル制御トランジスタの該ゲートに結合される、フィードバック経路と、
該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタの該ボディ端子に接続された第一の出力を有する第一の制御回路網であって、上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該第一の出力には、該第一の制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該第一の出力には、該第一の制御回路網によって上記高電力供給信号が提供される、第一の制御回路網と、
該pチャネル絶縁トランジスタの該ゲートに接続された第二の出力を有する第二の制御回路網であって、該潜在的なラッチアップ条件が存在するとき、該第二の出力には、該第二の制御回路網によって該プラスの電力供給信号が提供され、該pチャネル絶縁トランジスタをオフにし、該潜在的なラッチアップ条件が存在しないとき、該第二の出力には、該第一の制御回路網によって上記接地電力供給信号が提供され、該pチャネル絶縁トランジスタをオンにする、第二の制御回路網と
をさらに備える、項目2に記載の集積回路。
(項目21)
入出力ピンと、
該入出力ピンの1つからプラスの電力供給信号を受けるプラスの電力供給端子と、
該入出力ピンの1つから、該プラスの電力供給信号より大きい高電力供給信号を受けるプラスの高電力供給端子と、
pチャネル金属酸化物半導体トランジスタを含むプログラマブルロジックであって、該pチャネル金属酸化物半導体トランジスタのそれぞれは、ボディバイアス経路を介してボディバイアス信号を受けるボディ端子を有する、プログラマブルロジックと、
該高電力供給端子と該ボディバイアス経路との間に直列結合されたpチャネル制御トランジスタおよび絶縁トランジスタと
を備える、プログラマブルロジックデバイス集積回路。
(項目22)
上記ボディバイアス経路と上記プラスの電力供給端子との間に結合されたpチャネルラッチアップ防止トランジスタ
をさらに備える、項目21に記載のプログラマブルロジックデバイス集積回路。
(項目23)
上記ボディバイアス経路から調整可能なフィードバック信号を受け、該ボディバイアス経路上の該ボディバイアス信号を調整することに応じて、上記pチャネル制御トランジスタに対するゲート制御信号を生成する、演算増幅器
をさらに備える、項目21に記載のプログラマブルロジックデバイス集積回路。
(項目24)
上記pチャネル制御トランジスタは、ゲートを有し、
上記プログラマブルロジックデバイス集積回路は、
構成データをロードされたプログラマブル素子からの制御信号に応答する調整可能な分圧器であって、該調整可能な分圧器は、上記ボディバイアス経路に結合され、該制御信号および該ボディバイアス経路上の上記ボディバイアス信号によって決定されるフィードバック電圧が生成されるノードを含む、調整可能な分圧器と、
該ボディバイアス経路から該フィードバック電圧を受け、該ボディバイアス経路上の該ボディバイアス信号を調整することに応じて、該pチャネル制御トランジスタの該ゲートに付与されるゲート制御信号を生成する、演算増幅器と
をさらに備える、項目21に記載のプログラマブルロジックデバイス集積回路。
(項目25)
上記プログラマブルロジック内の上記pチャネル金属酸化物半導体トランジスタに対する潜在的なラッチアップ条件が存在するとき、高い制御信号を生成し、上記絶縁トランジスタをオフにし、該プログラマブルロジック内の該pチャネル金属酸化物半導体トランジスタに対する該潜在的なラッチアップ条件が存在しないとき、低い制御信号を生成し、該絶縁トランジスタをオンにする、制御回路網
をさらに備える、項目21に記載のプログラマブルロジックデバイス集積回路。
(項目26)
接地電力供給信号を受ける接地端子と、
プラスの電力供給信号を受けるプラスの電力供給端子と、
該プラスの電力供給信号より大きい高電力供給信号を受ける高電力供給端子と、
pチャネル金属酸化物半導体トランジスタであって、該pチャネル金属酸化物半導体トランジスタのそれぞれは、ボディバイアス経路を介してボディバイアス信号を受けるボディ端子を有する、pチャネル金属酸化物半導体トランジスタと、
該ボディバイアス経路と該プラスの電力供給端子との間に結合されたpチャネルラッチアップ防止トランジスタと、
該ボディバイアス経路に接続された絶縁トランジスタであって、該絶縁トランジスタがオフにされたとき、該ボディバイアス経路を該高電力供給端子から絶縁する、絶縁トランジスタと、
該pチャネル金属酸化物半導体トランジスタに対する潜在的なラッチアップ条件が存在するとき、高い制御信号を生成し、該絶縁トランジスタをオフにし、該pチャネル金属酸化物半導体トランジスタに対する該潜在的なラッチアップ条件が存在しないとき、低い制御信号を生成し、該絶縁トランジスタをオンにする、制御回路網と
を備える、集積回路。
(項目27)
上記絶縁トランジスタは、ゲートと、第一および第二のソース−ドレイン端子とを備え、
上記集積回路は、
ゲートと、第一および第二のソース−ドレイン端子とを有するpチャネル制御トランジスタであって、該pチャネル制御トランジスタの該第一の該ソース−ドレイン端子は、上記高電力供給端子に接続され、該pチャネル制御トランジスタの該第二の該ソース−ドレイン端子は、該絶縁トランジスタの該第一の該ソース−ドレイン端子に接続され、該絶縁トランジスタの該第二の該ソース−ドレイン端子は、上記ボディバイアス経路に接続される、pチャネル制御トランジスタ
をさらに備える、項目26に記載の集積回路。
(項目28)
上記絶縁トランジスタと上記高電力供給端子との間に結合されたpチャネル制御トランジスタであって、該pチャネル制御トランジスタは、上記ボディバイアス経路上の上記ボディバイアス信号を調節するために制御されるゲートを有し、該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタのそれぞれは、ボディ端子を有する、pチャネル制御トランジスタと、
該pチャネル制御トランジスタおよび該pチャネル絶縁トランジスタの該ボディ端子に接続された出力を有する制御回路網であって、上記潜在的なラッチアップ条件が存在するとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記プラスの電力供給信号が提供され、該潜在的なラッチアップ条件が存在しないとき、該ボディ端子に接続された該制御回路網の出力には、該制御回路網によって上記高電力供給信号が提供される、制御回路網と
をさらに備える、項目26に記載の集積回路。
(項目29)
上記潜在的なラッチアップ条件が存在するとき、上記pチャネルラッチアップ防止トランジスタをオンにして、上記ボディバイアス経路を上記プラスの電力供給信号でクランプする低い制御信号を生成し、該潜在的なラッチアップ条件が存在しないとき、該pチャネルラッチアップ防止トランジスタをオフにする高い制御信号を生成する、制御回路網
をさらに備える、項目26に記載の集積回路。
(項目30)
上記pチャネルラッチアップ防止トランジスタは、ゲートを備え、
上記集積回路は、
接地電力供給信号が入出力ピンから付与される接地電力供給端子と、
第一のノードに接続され、上記ボディバイアス経路と該接地電力供給端子との間に直列結合された第一の抵抗器のペアと、
第二のノードに接続され、上記プラスの電力供給端子と該接地電力供給端子との間に直列結合された第二の抵抗器のペアと、
該第一のノードに接続された第一の入力を有し、該第二のノードに接続された第二の入力を有し、比較器出力信号が提供される出力を有する比較器と、
該比較器出力信号をレベルシフトし、該pチャネルラッチアップ防止トランジスタの該ゲートに付与される制御信号を生成するレベルシフタと
をさらに備える、項目26に記載の集積回路。
(摘要)
集積回路は、ボディバイアス生成回路網が提供される。ボディバイアス生成回路網は、ボディバイアス経路上のトランジスタに提供されるボディバイアス信号を生成する。ボディバイアス生成回路網は、潜在的なラッチアップ条件が検出されたとき、安全な電圧でボディバイアス経路をクランプする能動ラッチアップ防止回路を含む。ボディバイアス回路網によって生成されるボディバイアス信号のレベルは、調整可能である。ボディバイアス生成回路網は、pチャネル制御トランジスタを用いて、ボディバイアス経路上のボディバイアス電圧を調節する。絶縁トランジスタは、pチャネル制御トランジスタとボディバイアス経路との間に結合される。潜在的なラッチアップ条件のある間、絶縁トランジスタは、オフにされ、ボディバイアス経路を接地から絶縁する。制御回路網は、pチャネル制御トランジスタおよび絶縁トランジスタのボディ端子に印加されるボディバイアス電圧を調整する。
(詳細な説明)
本発明は、集積回路上のトランジスタに対する調整可能なボディバイアス生成に関する。集積回路は、任意の適切なタイプであり得る。1つの特定の適切なアレンジメントを用いることにより、本発明に従う調整可能なボディバイアス生成回路網は、プログラマブルロジックデバイス集積回路上で使用される。調整可能なボディバイアス生成回路網は、また、デジタル信号プロセッサ、マイクロプロセッサ、カスタム集積回路、あるいは、ボディバイアスされたトランジスタを有する任意の他の集積回路のような集積回路に使用され得る。本発明は、一般的に、一例として、プログラマブルロジックデバイス集積回路との関連において記載される。
プログラマブルロジックデバイス集積回路は、構成データを用いてカスタム化され得る。典型的なシナリオにおいて、ロジック設計者は、所望のロジック回路を設計するにあたり、コンピュータ支援設計(CAD)システムを使用する。コンピュータ支援設計システムは、プログラマブルロジックデバイスのハードウェア能力に関する情報を使用し、構成データを生成する。
プログラマブルロジックデバイスは、プログラマブル素子を含む。プログラマブル素子は、任意の適切なプログラマブル技術(例えば、ヒューズ、アンチヒューズ、レーザプログラムされた素子、電気的にプログラムされた素子、不揮発性メモリ素子、揮発性メモリ素子、マスクプログラムされた素子など)に基づき得る。典型的なシナリオにおいて、プログラマブル素子は、ランダムアクセスメモリ(RAM)セルに基づく。
プログラマブルロジックデバイスをカスタム化して、所望のロジック回路にインプリメントするために、コンピュータ支援設計システムによって作成された構成データは、プログラマブルメモリ素子の中にロードされる。プログラマブルロジックデバイスの動作中に、各メモリ素子は、そのロードされた構成データに基づき静的出力信号を提供する。メモリ素子からの出力信号は、プログラマブルロジックデバイス上のプログラマブルロジック領域内の金属酸化物半導体トランジスタのゲートに付与される。これによって、プログラマブルロジックデバイスが所望のロジック回路をインプリメントできるように、プログラマブルロジックは構成される。
プログラマブルロジックデバイス上のプログラマブルロジックおよび他の回路網は、nチャネル金属酸化物半導体電界効果トランジスタ(NMOSトランジスタ)およびpチャネル金属酸化物半導体電界効果トランジスタ(PMOSトランジスタ)から形成される。NMOSおよびPMOSトランジスタを有する集積回路は、相補型金属酸化物半導体(CMOS)集積回路と称される。
電力消費を削減するために、トランジスタの少なくとも一部は、ボディバイアスが提供される。例えば、NMOSトランジスタは、接地よりもわずかに低いボディバイアス電圧を提供され得、PMOSトランジスタは、そのプラスの電力供給電圧よりもわずかに高いボディバイアス電圧を提供され得る。ボディバイアス電圧は、トランジスタのリークを低減し、それゆえ、デバイス性能を向上させる。
本発明に従う例示的なプログラマブルロジックデバイス10が、図1に示される。プログラマブルロジックデバイス10は、ホットソケット互換性のあることが好ましい。プログラマブルロジックデバイス10は、入出力ピン14を介して、デバイス10の駆動信号をオフにするため、および、他のデバイスから信号を受けるための入出力回路網12を有する。グローバルおよびローカルな垂直および水平なラインおよびバスのような相互接続リソース16は、デバイス10上の信号をルーティングするために使用される。相互接続リソース16は、固定相互接続(導電ライン)およびプログラマブル相互接続(すなわち、それぞれの固定相互接続間のプログラマブル接続)を含む。プログラマブルロジック18は、組み合わせロジック回路網および順序ロジック回路網を含み得る。プログラマブルロジック18は、カスタムロジック機能を実行するように構成され得る。相互接続リソース16と関連するプログラマブル相互接続は、プログラマブルロジック18の一部であると考えられ得る。
ロジック18内のプログラマブル素子20は、任意の適切なソースからロードされ得る。典型的なアレンジメントにおいて、プログラマブル素子は、外部の消去可能プログラマブル読み取り専用メモリからロードされ、ピン14を介して構成デバイスと呼ばれるチップと、入出力回路網12とを制御する。
デバイス10の回路網は、任意の適切なアーキテクチャを用いて組織化され得る。一例として、プログラマブルロジックデバイス10のロジックは、一連の行および列からなるより大きなプログラマブルロジック領域から組織化され得、この大きな領域のそれぞれは、より小さなロジック領域を多数含む。デバイス10のロジックリソースは、関連する垂直および水平の導体のような相互接続リソース16と相互接続され得る。これらの導体は、デバイス10の実質全体に及ぶグローバルな導電ライン、デバイス10の一部に及ぶハーフラインまたはクォーターラインのような部分的ライン、特定の長さ(例えば、幾つかのロジックエリアを相互接続するのに十分な長さ)の捩れ(staggered)ライン、より短いローカルライン、または、任意の他の適切な相互接続リソースのアレンジメントを含み得る。必要に応じて、デバイス10のロジックは、さらに多くのレベルまたは層でアレンジされ得る。これによって、多数の大きな領域が相互接続されて、ロジックのさらに大きなポーションを形成する。さらなる他のデバイスのアレンジメントでは、行と列にアレンジされていないロジックを使用し得る。
図1の集積回路10のような集積回路の一次プロセッシング回路網は、デバイスの中央領域に位置される。入出力回路網12は、典型的には、集積回路の周辺部の周りに位置される。デバイスの中央領域は、ときどき、デバイスのコアと称され、その領域の回路網は、ときどき、コア回路網またはコアロジックと称される。多数の集積回路は、マルチレベルの電力供給スキームを使用する。このスキームにおいて、コア回路網は、比較的低い電力供給レベルを用いて電力供給され、入出力前駆動回路および他の周辺コンポーネントは、1つ以上のより高い供給レベルを用いて電力供給される。コアロジックの電力供給レベルは、ときどき、VccコアまたはVccと称される。周辺回路網に電力供給するために使用される高い電力供給レベルの1つは、ときどき、Vccpdと称される。他の電力供給レベルも、また使用され得る。電圧Vssは、一般に接地と称される。
任意の適切な数の幾つかの異なる電力供給レベルが、デバイス10を電力供給するために使用され得る。2.5ボルトの高い電力供給レベルVccpd、プラス1.1ボルトのコアロジック電力供給電圧、および、0ボルトの接地電圧Vssを用いて電力供給される集積回路10が、本明細書で、一例として記載される。この電力供給構成は、単に例示的なものに過ぎない。プログラマブルロジックデバイス10を不必要に複雑さを増大させるのを避けるために、電力供給電圧Vccpdは、既存の電力供給ラインから取得されることが好ましい。電力供給電圧Vccpdは、一例として、図1の入出力回路12内の駆動回路網を電力供給するために使用されるのと同じ電力供給電圧であり得る。必要に応じて、他の適切な電力供給電圧も、集積回路10に電力供給するために使用され得る。
デバイス10上のトランジスタは、4つの端子(ソース、ドレイン、ゲートおよびボディ)を有する。ときどき、ウェル端子またはバルク端子とも称されるボディ端子は、バイアスされ、消費電力を削減し得る。nチャネル金属酸化物半導体トランジスタにおいて、ボディ端子の電圧は、接地(Vss)に対して幾分か低くされ得る。pチャネル金属酸化物半導体トランジスタにおいて、ボディ端子の電圧は、プラスの電力供給電圧(Vcc)に対してわずかに高くされ得る。例えば、Vccが1.1ボルトの場合、pチャネル金属酸化物半導体トランジスタのボディ端子は、約1.1〜2.5ボルトの範囲の大きさを有するプラスの電圧でバイアスされ得る。
pチャネル金属酸化物半導体トランジスタ22の模式図が、図2に示される。トランジスタ22のソースは、Sとラベル付けされ、ドレインは、Dとラベル付けされ、ゲートは、Gとラベル付けされ、そして、ボディ端子は、Bとラベル付けされる。図2に示されるように、ボディバイアス電圧Vnwbiasは、ボディ端子Bに印加される。図2のpチャネルトランジスタ22の断面図が、図3に示される。ソースSおよびドレインDは、注入領域24を用いて形成される。ゲート構造26は、シリコン酸化物のような絶縁体の薄い層と、シリサイド化ポリシリコンのようなゲート導体とから形成される。ボディ端子Bは、注入領域28を使用し、n型ボディ領域30とオーミック接触を形成する。
本発明に従う集積回路は、オンダイ(on−die)nチャネル金属酸化物半導体(NMOS)およびpチャネル金属酸化物半導体(PMOS)ボディバイアス回路網が提供され得る。ボディバイアス回路網は、様々なレベルのボディバイアスを提供するために調整され得る。プログラマブルロジックデバイス集積回路において、調整可能なボディバイアス生成器は、特に有利である。なぜなら、調整可能なボディバイアス生成器によって、ロジック設計者は、ロジック設計者がカスタム集積回路で使用するボディバイアスレベルにカスタム化することができるからである。
本発明に従うと、Vccより大きな大きさを有する安定で正確なプラスのバイアス電圧を生成し得る調整可能なPMOSボディバイアス回路網が、提供される。例示的な実施形態において、調整可能なPMOSボディバイアス回路網は、Vcc(例えば、1.1ボルト)より高いプラスの電力供給電圧Vccpd(例えば、2.5ボルト)を用いて、電力供給される。制御可能なPMOSボディバイアス回路網は、その電圧調節回路内のPMOS制御トランジスタを使用し得る。これによって、調整可能なボディバイアス生成回路網は、1.1ボルト〜2.5ボルトの範囲のPMOSトランジスタボディバイアス電圧を生成することができる。
PMOSボディバイアス回路網によって生成されるボディバイアス電圧は、図2および図3のトランジスタ22のようなpチャネルトランジスタをバイアスするために使用され、電力消費を削減し得る。一般に、任意の適切な数のトランジスタが、ボディバイアスに提供され得る。例えば、デバイス10上のpチャネルトランジスタの一部または全部が、ボディバイアスを提供され得、また、nチャネルトランジスタの一部または全部が、ボディバイアスを提供され得る。幅広い範囲でのボディバイアスが提供される利点は、デバイス10の電力消費を最小化することである。ボディバイアスを選択的に用いる利点は、性能を最適化し得ることである。例えば、最大の性能が望まれるクリティカルな信号経路においては、電力消費を削減するためのボディバイアスは、回避(抑制)され得る。
デバイス10上のどの回路網を使用してボディバイアスに提供されるべきかと、そのバイアス量とに関しての決定は、設計プロセスの間に、ロジック設計者またはCADツールによってなされ得る。これらの決定に基づいて、CADツールは、調整可能なボディバイアス回路網を調整する構成データを生成し得る。一度、構成データが、プログラマブルロジックデバイス10内にロードされると、構成データは、デバイス10の様々な部分に対して、バイアスを選択的にオンおよびオフするために、デバイス10の様々な部分に対して使用されるバイアス量を調整するために、使用され得る(例えば、デバイス10の一部の部分で性能を最大化し、デバイス10の他の部分で電力消費の節約を最大化する)。一般的に、任意の適切な数の異なるボディバイアス電圧が、所定のプログラマブルロジックデバイス上に製造され得る。
PMOSおよびNMOSボディバイアス回路網を用いる例示的な集積回路10の模式図が、図4に示される。ピン14は、電力供給電圧Vcc、VssおよびVccpdを受けるために使用される。NMOSボディバイアス生成器32は、ボディバイアス電圧Vpwbiasを生成する。ボディバイアス信号Vpwbiasは、経路36のようなボディバイアス経路を介して、NMOSトランジスタ34のp型ボディに分配される。PMOSボディバイアス生成器38は、ボディバイアス電圧Vnwbias生成する。ボディバイアス信号Vnwbiasは、経路42のようなボディバイアス経路を介して、PMOSトランジスタ40のn型ボディに分配される。図4の例において、単一の値Vpwbiasおよび単一の値Vnwbiasが生成される。これは、単に例示的なものに過ぎない。例えば、2つのPMOSボディバイアス電圧(Vnwbias1およびVnwbias2)が、2つの関連するグループのPMOSトランジスタ40に対して生成され得るし、あるいは、3つ以上のPMOSボディバイアス電圧も生成され得る。
Vnwbiasのようなボディバイアス信号を調整するために使用される制御信号は、任意の適切なソースから取得され得る。一つの適切なアレンジメントを用いると、構成データをロードされたプログラマブル素子20の一部からの静的出力信号は、ボディバイアス生成回路網32および38を調整するための制御信号として使用される。別の適切なアレンジメントを用いると、プログラマブルロジックデバイス10上に(例えば、プログラマブルロジック18またはハードワイヤされたロジックによって)生成された動的信号は、制御信号として使用され得る。制御信号は、また、1つ以上のピン14を用いて、外部ソースから供給され得る。
任意の適切な電力供給アレンジメントが、生成器32および38のようなオンチップ生成器に電力供給するために使用され得る。図4の例において、PMOSボディバイアス生成器回路網38およびNMOSパワーボディバイアス生成器回路網32は、コア電力供給電圧Vcc、高電力供給電圧Vccpdおよび接地電圧Vssを用いて電力供給される。一般的に、内部(オンダイ)ボディバイアス生成スキームは、電力供給ピンの使用を最小限にしようと試みる。これにより、電力供給電圧を用いて動作されることが好ましい。そのように動作されなければ、集積回路10上で利用可能とされるであろう。しかしながら、必要に応じて、1つ以上の追加のプラスまたはマイナスの電力供給電圧が使用され得る。
ボディバイアス信号VpwbiasおよびVnwbiasの状態は、ピン14における電力供給電圧の状態に依存する。集積回路10は、ホットソケット互換性を有する。そのため、集積回路10が使用されているデバイスのユーザは、デバイス10をその電源に接続することも、あるいは、そこから外すことも自由にできる。ユーザは、例えば、集積回路10に含まれるデバイスを1つのソケットから引き抜き、別のソケットの中にそれを挿入し得る。あるいは、集積回路10は、パワーアップ制約のないシステムで使用され得る。また、デバイス10は、パワーアップ制約のないシステムボード内で使用され得る。電力供給信号Vcc、VssおよびVccpdを供給する電力供給ピン14が接触するか、あるいは、システムボードから電力を受けると、信号Vcc、VssおよびVccpdは、特定の順番で確立され、有効になる。
例えば、ユーザが、ある方法でデバイスを挿入する場合、信号Vccが最初に有効とされ得る(すなわち、Vccを搬送するソケット内の導体が、集積回路10上のVccピンに電気的接続する)。そのユーザが、わずかに異なる方法で同じデバイスを挿入する場合、信号Vccpdが最初に有効とされ得る(すなわち、Vccpd信号を搬送するソケット内の導体が、集積回路10上のVccpdピンに電気的接続する)。特定の状況において、集積回路10に付与される電力供給信号のシーケンスは、ラッチアップ条件を生じる可能性を有する。この特定のパワーアップシーケンスが生じたとき、集積回路10は、ダメージを受ける可能性または動作不能となる可能性を有する。
ラッチアップ現象は、集積回路10上のCMOSトランジスタ内の寄生バイポーラトランジスタの存在によるものである。集積回路10上の典型的なCMOSトランジスタ構造78の断面図が、図5に示される。CMOS構造78は、NMOSトランジスタ80およびPMOSトランジスタ82を有する。
トランジスタ80において、ソースSおよびドレインDは、注入領域84を用いて形成される。ゲート構造86は、シリコン酸化物のような絶縁体の薄い層と、シリサイド化ポリシリコンのようなゲート導体とから形成される。ボディ端子Bは、注入領域88を使用し、p型ボディ領域90とオーミック接触を形成する。
トランジスタ82において、ソースSおよびドレインDは、注入領域92を用いて形成される。ゲート構造94は、シリコン酸化物のような絶縁体の薄い層と、シリサイド化ポリシリコンのようなゲート導体とから形成される。ボディ端子Bは、注入領域96を使用して、n型ボディ領域98とオーミック接触を形成する。深いn型ウェル100は、ウェル90およびウェル98を取り囲む。
トランジスタ80が、通常に動作しているとき、マイナスのボディバイアスVpwbiasが、トランジスタ80のボディ端子Bに印加され、その実行閾電圧が増加し、それゆえ、電力消費が削減される。トランジスタ82が、通常に動作しているとき、プラスのボディバイアスVnwbiasが、トランジスタ82のボディ端子Bに印加され、その実行閾値電圧が増加し、それゆえ、電力消費が削減される。トランジスタ80および82のソース端子、ドレイン端子およびゲート端子に印加される電圧は、トランジスタが動作している回路に依存する。典型的な回路構成(例えば、所定のインバータ)において、トランジスタ80のソースSは、Vssであり、トランジスタ82のソースSは、Vccである。PMOSおよびNMOSトランジスタのソースおよびドレインは、一般的に、相互に変更可能であり、ときどき、ソース−ドレイン(複数または単数)端子と合わさって称される。
図5に示されるように、CMOS構造78のドープされた半導体領域は、寄生バイポーラトランジスタNPN1、NPN2およびPNPを形成する。高ドープされたp+領域92は、寄生バイポーラトランジスタPNPのエミッタを形成する。高ドープされたn+領域84は、寄生バイポーラトランジスタNPN1およびNPN2のエミッタを形成する。所定のパワーアップシーケンス下において、寄生バイポーラトランジスタNPN1/NPN2およびPNPの間のフィードバックは、CMOS構造78が、望ましくないラッチアップ状態に入る原因となり得る。
一般に、接地信号Vssが存在しない場合、集積回路10は、動作可能ではない。ラッチアップと通常動作との双方は、Vssが存在することを必要とする。Vssが存在する場合、2つのシナリオが考えられる。ボディバイアス電圧VpwbiasおよびVnwbiasが有効になる前に、集積回路の回路網にVccが印加されるか、あるいは、ボディバイアス電圧が有効となった後に、集積回路の回路網にVccが印加されるかである。VssおよびVccが有効になった後に、ボディバイアス電圧VpwbiasおよびVnwbiasが有効になる場合、ラッチアップに適切な条件が存在し、その結果、ラッチアップが生じ得る。
図5のCMOSトランジスタ構造78の動作で、VccおよびVssが既に有効になった後に、ボディバイアス電圧VpwbiasおよびVnwbiasが有効になるときを考える。ボディバイアス信号が有効になる前に、トランジスタ80および82のボディ端子Bは、フロートしている。(例えば、端子Bが、接地に容量的結合されている。)トランジスタ82のソース上の1.1ボルトの信号Vccは、寄生バイポーラトランジスタPNPのエミッタ−ベース接合を順バイアスする傾向がある。トランジスタPNPのエミッタ−ベース接合が順バイアスされると、トランジスタPNPのベースは、エミッタより電圧の低い1つのダイオードの起動電圧(one diode turn−on voltage)(0.6ボルト)である。なぜなら、本例において、Vccは1.1ボルトであるために、寄生PNPトランジスタのベース上の電圧は、約0.5ボルト(すなわち、1.1V−0.6V)だからである。寄生PNPトランジスタのエミッタ−ベース接合が順バイアスされると、寄生PNPトランジスタはオンとなり、その結果、寄生PNPトランジスタのコレクタが、寄生バイポーラトランジスタNP1およびNPN2のベースをVccに近づける。トランジスタNPN1およびNPN2のベース上の電圧が高くなると、寄生バイポーラトランジスタNPN1およびNPN2のベース−エミッタ接合は、順バイアスされ、寄生バイポーラトランジスタNPN2をオンにする。トランジスタNPN1およびNPN2がオンとなると、PNPトランジスタのベースがVssに近づけられ、こうして、さらに、寄生PNPトランジスタをオンにする。このフィードバック機構によって、寄生トランジスタは、ラッチされた状態になる。その状態においては、望ましからぬ潜在的なダメージを与える大電流が、寄生バイポーラトランジスタPNPと、寄生バイポーラトランジスタNPN1およびNPN2とを介してVccからVssへ流れる。たとえ、有効な値のVnwbiasおよびVpwbiasがトランジスタ80および82のボディ端子に印加されていても、この望ましからぬラッチアップ条件は、持続する。
本発明に従うと、ボディバイアス回路網に、潜在的に危険な電力供給条件を検出し、ラッチアップの発生を防止するアクションを取るラッチアップ防止回路網が提供される。ラッチアップ防止回路網は、ボディバイアスVpwbiasおよびVnwbiasの前に、電力供給電圧VssおよびVccが有効になるときを検出し得、この状況が検出されるとき、安全な電圧で、ボディバイアス分配経路をクランプし得る。例えば、VpwbiasおよびVnwbias信号が有効になるまで、Vpwbiasは、Vssでクランプされ得、Vnwbiasは、Vccでクランプされ得る(例えば、なぜなら、これらバイアス信号に対して必要な前駆電力供給電圧が、満足に受けられ、チップ上で有効なバイアス信号を生成することができるからである)。集積回路が、十分にパワーアップされるまで、絶えず、VpwbiasおよびVnwbiasをクランプすることで、ラッチアップのシナリオは避けられる。ラッチアップ防止回路網によって、集積回路のホットソケット互換性を確保でき、ユーザにパワーアップ制約を課すことが不要になる。
調整可能なボディバイアスおよびPMOSラッチアップ防止回路網を有する例示的なプログラマブルロジックデバイス集積回路10が、図6に示される。PMOSラッチアップ防止回路網102は、外部ピン14から、経路108および109を介して、プラスの電力供給Vccおよび接地電力供給Vssを受ける。ボディバイアス生成器110は、外部ピン14から、経路111および109を介して、高電力供給Vccpdおよび接地電力供給Vssを受ける。集積回路10の通常動作の間、Vnwbiasライン104のようなラインは、ボディバイアス信号VnwbiasをPMOSトランジスタ40に分配するために使用される。任意の適切な数のボディバイアス信号が、回路10上で使用され得る。単一のボディバイアス信号の使用が、図6に一例として示される。
PMOSラッチアップ防止回路網102は、信号VccおよびVssをモニタし、かつ、信号Vnwbiasをモニタし、潜在的なラッチアップ条件が存在するかどうかを判断する。集積回路10が、パワーアップされるとき(例えば、集積回路10が含まれるデバイスをソケットの中にユーザが挿入するとき)、Vcc、VssおよびVccpdのような電力供給信号が、ライン108、109および111に、様々な順番で付与され得る。PMOSラッチアップ防止回路網102は、信号Vnwbiasが有効になる前に、信号VccおよびVssが有効になったと判断する場合、PMOSラッチアップ防止回路網102は、ライン104上の電圧をVccのような安全な電圧で保ち得る。これによって、PMOSトランジスタ40内の寄生PNPトランジスタのエミッタ−ベース接合が順バイアスされることを防止でき、ラッチアップを防止できる。一度、信号Vnwbiasが有効になると、PMOSラッチアップ防止回路網102は、ライン104をリリースし得る。このため、Vnwbias信号は、トランジスタ40を通常にボディバイアスするために使用することができる。PMOSボディバイアス生成器110は、PMOSバイアス生成器110がPMOSラッチアップ防止回路網102の性能に悪影響を与えることを防止する制御回路網を含む。
信号Vcc、VssおよびVnwbiasは、図6に示されるようなPMOSラッチアップ防止回路網102によって直接モニタされ得るか、あるいは、ラッチアップ防止回路網102は、信号Vcc、VssおよびVnwbiasと関連する電圧をモニタし得る。例えば、電力供給信号がVccに由来する場合、あるいは、Vccが別の電力供給信号に由来する場合、ラッチアップ防止回路網102は、Vccを測定する代わりに、これらの信号をモニタし得る。同様に、Vnwbiasが別の電力供給電圧に由来する場合、あるいは、別の電力供給電圧を生成して使用される場合、これらの電力供給電圧の1つが、Vnwbiasをモニタする代わりに、モニタされ得る。潜在的ラッチアップ条件が存在するときに、VccおよびVnwbiasが直接モニタされる信号モニタリングアレンジメントが、一例として記載される。
例示的なPMOSラッチアップ防止回路網102が、図7に示される。図7に示されるように、回路網102は、制御回路網112およびトランジスタTXP3を含む。PMOSボディバイアス経路104は、ボディバイアス信号Vnwbiasを図4のPMOSトランジスタ40のボディ端子に分配するために使用される。経路104は、端子120を介して、図6のPMOSボディバイアス生成器110から信号Vnwbiasを受ける。図7に示されるように、制御回路網112は、経路104に電気的接続され、端子122で、図6のライン109から接地信号Vssを受ける。プラスの電力供給信号Vccは、端子118で、図6の経路108から受けられる。
動作の間、制御回路網112は、経路104上の電圧をモニタし、その出力で対応する制御信号SBを生成する。制御信号SBは、経路114を用いて、トランジスタTXP3のゲートに付与される。トランジスタTXP3のドレイン−ソース端子の1つは、プラスの電力供給端子118に接続され、信号Vccで電力供給される。トランジスタTXP3の他のドレイン−ソース端子およびトランジスタTXP3のボディ端子は、経路104に接続される。
制御回路網112は、信号Vccの状態をモニタする。Vccが有効であり、その一方で、Vnwbiasが有効でないこと(すなわち、Vnwbiasが接地に容量的結合されているときか、あるいは、接地しているとき)を制御回路網112が検出した場合、制御回路網112は、その出力で低い値のSBを生成する。SBの値が低いと、トランジスタTXP3はオンになり、端子118はライン104と電気的に接続される。トランジスタTXP3がオンである限り、ライン104上の電圧は、Vccでクランプされたまま留まる。ライン104上のVnwbias信号が有効となったことを制御回路網112が検出したとき、制御回路網112は、高い値のSBをその出力で生成する。SB信号が高いと、トランジスタTXP3はオフになり、図6の生成器110からのVnwbias電圧をボディバイアストランジスタ40に使用することが可能になる。
制御回路網112は、任意の適切な回路アーキテクチャを用いてインプリメントされ得る。図7の例示的なアレンジメントにおいて、抵抗器R1およびR2は、分圧器のペアを形成する。R1とR2との抵抗比は、適切な電圧レベルが比較器124のマイナスとプラスとの入力に供給されるように選択される。例えば、R1およびR2の値は、Vccが1.1ボルトに等しいとき、結果として、ノードN1で0.5ボルトの電圧が生成されるように使用され得る。VccおよびVssが有効でないとき(すなわち、VccおよびVssが接地に容量的結合されているか、あるいは、接地しているとき)、ノードN1での電圧は、0ボルトになる。端子120に接続された分圧器は、同様に動作する。Vnwbiasが有効でないとき、ノードN2での電圧は、0ボルトになる。Vnwbiasが有効であるとき、ノードN2での電圧は、0.5ボルトより大きくなる。
比較器124は、その入力上の信号を比較し、その出力上に、対応する出力信号COUTVを生成する。Vnwbias、VssおよびVccが有効なとき、Vnwbiasの値は、Vccより大きいか、等しい。状況で、ノードN2上の電圧は、ノードN1上の電圧より大きくなり、信号COUTVは高くなる。Vnwbiasが有効でない場合(すなわち、VnwbiasがVccより大きくないか、等しいとき)、ノードN2上での電圧は、N1上での電圧より大きくならず、信号COUTVは、低くなる。
信号COUTVは、低いVssから高いVccの範囲である。通常動作の間に、ライン104上の信号Vnwbiasが有効であるとき、トランジスタTXP3を完全にオフにするためには、ライン128上のCOUTV信号は、レベルシフタ126を用いてレベルシフトされる。結果として得られた信号COUTVのレベルシフトバージョンは、ライン114上で制御信号SBとして提供される。COUTVがVssであるとき、信号SBはVssである。COUTVがVccであるとき、信号SBはVnwbias(例えば、1.6〜2.5ボルト)であり、このVnwbiasは、Vccより大きいか、等しい。
図7に示されるタイプのラッチアップ防止回路網内の調整可能なPMOSボディバイアス生成回路網38は、図8に示されるように使用され得る。図8に示されるように、PMOSボディバイアス生成回路網38は、ラッチアップ防止トランジスタTXP3を含み、このトランジスタTXP3は、潜在的なラッチアップ条件が検出されたときに、Vnwbiasライン104をVccでクランプするために使用される。トランジスタTXP3の動作は、図7の制御回路網112のような制御回路網を用いて、制御される。
図8の調整可能なPMOSボディバイアス生成回路網38は、調整可能な電圧調節器回路に基づく。通常動作の間、調整可能な電圧調節器回路は、端子130に印加される電圧Vccpdを調節し、ライン104上に所望のVnwbiasレベルを生成する。調整可能な分圧器132の設定は、フィードバックライン136上の演算増幅器134の入力に付与されるフィードバック信号Vfbの大きさを決定する。演算増幅器134は、制御トランジスタTXP1のゲートを御する制御信号の出力GTを生成し、これによって、ライン104上のVnwbias信号に対する電圧レベルを調節する。
バンドギャップリファレンス回路138は、プラスの電力供給電圧Vccpdおよび接地電圧Vssによって電力供給される。バンドギャップリファレンス回路網138は、リファレンス電圧Vrefおよびリファレンス電流Irefを、対応する出力ライン140および142上に供給する。VrefおよびIrefに対して選択される特定の値は、クリティカルではない。適切なVrefの値の一例は、0.5ボルトである。適切なIrefの値は、10μAである。
NMOSトランジスタまたはPMOSトランジスタは、制御トランジスタTXP1に対して使用され得る。TXP1が、NMOSトランジスタである場合、ライン104上で供給され得る最高の電圧レベルVnwbiasは、Vccpd−Vtnである。ここで、Vtnは、NMOSトランジスタの閾電圧である。TXP1に対してPMOSトランジスタを用いる利点は、図8に示されるように、Vnwbiasの電圧を、VccからVccpdまでの完全な範囲(レールツーレール(rail−to−rail))にわたって調整可能になることである。
PMOSトランジスタTXP2は、絶縁トランジスタとして機能する。また、TXP2は、トランジスタTXP1と直列に、Vccpd電力供給端子130とVnwbiasライン104との間に接続されることが好ましい。トランジスタTXP2は、制御回路網144によって制御される。制御回路網144は、電力供給ラインVccpd、VccおよびVssをモニタし、潜在的なラッチアップ条件が存在するかどうかを判断する。
通常動作の間、VccおよびVccpdが双方とも有効であるとき、潜在的なラッチアップがないので、制御回路網144は、低い値の信号SAを生成する。SAの値が低いと、トランジスタTXP2はオンになり、調整可能な電圧調節器が通常に機能することが可能になる。
VssおよびVccが有効であるが、Vccpdが有効でないとき(すなわち、端子130が接地に容量的結合されているか、接地しているとき)。潜在的なラッチアップ状況は、回路網144によって検出され、信号SAは、高くなる。これによって、トランジスタTXP2は、オフになり、ライン104をVccpd端子130から絶縁する。ライン104は、端子130から絶縁されているので、ライン104上の電圧Vnwbiasは、有効でないVccpd信号の値低い(接地)値に、トランジスタTXP1を介して接地には近づかない。
トランジスタTXP1およびTXP2のボディ端子Bは、制御回路網146によって生成したボディバイアス信号SCを用いてバイアスされる。制御回路網146は、電力供給信号Vccpd、VccおよびVssをモニタし、潜在的なラッチアップ条件を検出する。信号VccおよびVccpdが有効なとき、制御回路網146は、VccpdにSCを保つ。この状況において、トランジスタTXP1およびTXP2のボディ端子Bは、そのそれぞれのソース電圧より大きいか、等しい電圧レベルである。このため、トランジスタTXP1およびTXP2は、通常に動作することが可能である。潜在的なラッチアップ状況が検出されたとき(すなわち、Vccが有効であるのに、Vccpd端子130が、接地に容量的結合されているか、接地している)、電力供給電圧Vccpdは、トランジスタTXP1およびTXP2に印加するために利用できない。したがって、制御回路網146は、信号SCを利用可能な次の最大のプラスの電力供給電圧(Vcc)に取り込み、トランジスタTXP1およびTXP2内のソース−バルクp−n接合が順バイアスされることを防止する。このスキームは、トランジスタTXP1およびTXP2にダメージを与えるのを防ぎ得る。このため、Vccpd信号が有効になったとき、トランジスタTXP1およびTXP2が通常に動作し得る。
通常動作の間、電圧Vfbは、フィードバック経路136を介して、分圧器132から演算増幅器134にフィードバックされる。電圧Vfbは、調整可能な分圧器132およびVnwbiasの大きさの設定に比例する。リファレンス電圧Vrefは、経路140を介して演算増幅器134に供給される。
演算増幅器134は、ライン136および140上の信号を比較し、経路148に対応する出力GTを生成する。ライン136上の信号Vfbが、ライン140上の信号より大きいとき、演算増幅器134の出力は、上がる。このことは、トランジスタTXP1をオフにする傾向があり、結果として、ライン104の電圧Vnwbiasを分圧器132の設定によって確立された設定点の値に下げる。ライン136上の信号が、ライン140上の信号より小さいとき、ライン148上の演算増幅器134の出力は、下げられる。これは、トランジスタTXP1をオンにする傾向があり、結果として、ライン104の電圧VnwbiasをVccpdに向かって上げる。このフィードバックアレンジメントを用いて、Vnwbiasの値は、その所望の設定点(すなわち、分圧器132の設定によって決定されたVccとVccpdとの間の所望の電圧)の値で一定になる。
調整可能な分圧器132は、直列接続された幾つかの抵抗器150から形成され得る。典型的な抵抗器の値は、約10kΩ〜50kΩである。分圧器132の抵抗器チェーンの一端は、Vssで維持される。抵抗器チェーンの他端は、Vnwbias経路104に接続される。
電圧Vfbは、フィードバック経路136を用いて分圧器132内の抵抗器チェーンから離れてタップされる。電圧Vfbが、分圧器132内の直列接続された抵抗器からタップされる点を調整することによって、分圧器に対する電圧の設定点は、調整され得る。図8の例示的なアレンジメントにおいて、分圧器の直列接続された抵抗器における電圧タップ点の位置は、プログラマブル素子20の状態を設定することによって確立される。各プログラマブル素子20は対応するトランジスタ152を制御する。各プログラマブル素子の状態は、そのコンテンツによって決定される。デバイスをプログラミングする間に、構成データは、プログラマブル素子20の中にロードされる。ロジック0をロードされたプログラマブル素子は、低い出力信号を生成し、その関連トランジスタ152をオフにする。プログラマブル素子の1つは、ロジック1をロードされる。プログラマブル素子の中のロジック1は、そのプログラマブル素子の出力を高くする。出力信号が高いと、対応するトランジスタ152はオンになる。トランジスタ152が、抵抗器150チェーン内でオンにされる位置が、分圧器132に対する設定点を決定する。
分圧器132からの電圧Vfbは、フィードバック経路136を介して演算増幅器134にフィードバックされる。演算増幅器134は、適切な電力供給電圧(例えば、図8の例における電圧Vccpdおよび電圧Vss)を用いて電力供給される。演算増幅器132は、分圧器132からタップされた電圧Vfbをリファレンス電圧Vrefと比較し、対応する出力制御信号GTを生成する。信号GTは、トランジスタTXP1のゲートGに付与される。トランジスタTXP1は、通常、オンになり、飽和して動作する。電流は、分圧器132の抵抗器ならびにトランジスタTXP1およびTXP2のソースおよびドレインを介して、Vccpdノード130からVssノード154に流れる。
分圧器132から演算増幅器134へのフィードバックループは、電圧Vnwbiasをその所望のレベルで正確に維持する。Vnwbiasが、その設定点よりわずかに高くなり始めると、Vfbがわずかに高くなる。経路136によって提供されたフィードバックは、こうして、演算増幅器134の出力GTを増大させる。GTの値が増大するのに応答して、その結果、トランジスタTXP1を経由する電流は、減少する。トランジスタTXP1を経由する電流が減少すると、Vnwbiasは、所望の設定点の値に向かって下がる。Vnwbiasが、その設定点よりわずかに低くなり始める場合、その結果、経路136を介するフィードバックによって、Vnwbiasが上がる。
分圧器132内で使用される抵抗器150の数は、調整可能なボディバイアス生成回路網38に対して望まれる電圧ステップの数によって決定される。使用される抵抗器150が多い場合、比較的多数の電圧ステップがあり、ボディバイアス生成回路網38は、高レベルな精度で所望のVnwbiasを生成できる。使用される抵抗器150が少ない場合、各電圧ステップは大きくなリ、利用可能な精度は劣るが、回路の複雑さは緩和される。一般に、任意の数の抵抗器150および関連タップトランジスタ152が、分圧器132内で使用され得る。
図8の例において、調整可能な分圧器132内の制御信号ライン158を介してトランジスタ152のゲートに付与される制御信号は、プログラマブル素子20によって供給される。必要に応じて、トランジスタ152に対する制御信号は、デバイス10上のプログラマブルロジックジック18またはハードワイヤされたロジックから供給される動的制御信号であり得る。外部制御信号も、またトランジスタ152を制御するために使用され得る。
必要に応じて、図9に示されるように、デコーダ154は、トランジスタ152に制御信号を供給するために使用され得る。図9に示される例示的なアレンジメントにおいて、プログラマブル素子20は、入力ライン156上のデコーダ154に、静的制御信号を提供するために使用される。また、デコーダ154に付与される制御信号は、デバイス10上のプログラマブルロジックジック18またはハードワイヤされたロジックの出力からライン156に供給される動的制御信号でもあり得る。また、外部制御信号は、ピン14からライン156にルーティングされ得る。デコーダ154は、入力ライン156上のデコードされていない制御信号を制御ライン158上の対応するデコードされた制御信号に変換するロジックを含む。ライン158は、これらの制御信号をそれぞれのトランジスタ152のゲートにルーティングするために使用される。
図9のデコーダ154を使用すると、デバイス10の複雑さは増大する。さらに、回路のリアルエステートは、デコーダ154のロジック、ライン156および158に対しても必要とされる。それにも関わらず、デコーダ154のようなデコーダを使用するのは、プログラマブル素子20に対するニーズを減らすためである。例えば、N個のプログラマブル素子を用いると、2個のライン158を制御することが可能である。トランジスタ152の数が比較的多い状況において、個別のプログラマブル素子20を使用して各トランジスタ152を制御するより、デコーダ154を使用する方が、より効率的であり得る。
図8の制御回路網144に対して使用され得る例示的な回路網が、図10に示される。図10に示されるように、制御回路網144は、端子164で高電力供給信号Vccpdを、端子166でプラスの電力供給信号Vccを、端子168で接地電力供給信号Vssを受ける。分圧器161および163のペアが、抵抗器160を用いて形成される。抵抗器160の抵抗は、ノードN3およびN4での電圧が、Vccpd、VccおよびVssの状態を比較して、判断され得るように選択される。全ての電力供給信号が有効であるとき、ノードN3での電圧は、ノードN4での電圧より大きい。VccおよびVssが有効である一方、Vccpdが有効でないとき、ノードN3での電圧は0Vであり、これは、ノードN4での電圧より小さい。プラスの電力供給信号Vccおよび接地電力供給信号Vssを用いて電力供給される比較器162は、ノードN3およびN4上の電圧を比較し、対応する出力信号SAを生成する。通常動作の間、信号Vcc、VccpdおよびVssは、有効であり、ノードN3上での電圧は、ノードN4上での電圧よりも大きく、そして、出力SAは、Vssで低い。潜在的なラッチアップ条件のある間、電圧Vccpdが有効でなく、一方、VccおよびVssは有効であるとき、ノードN3上での電圧は、ノードN4上での電圧よりも小さいか、等しく、そして、出力SAは、Vccで高い。
図8の制御回路網146に対して使用され得る例示的な回路網が、図11に示される。制御回路網146は、端子164で電圧Vccpdを、端子166で電圧Vccを、そして、端子168で電圧Vssを受ける。分圧器172は、VccpdとVssとの間に接続された抵抗器160のペアを含む。分圧器172は、図10の分圧器161を形成するために使用されるコンポーネントと同じコンポーネントから形成され得る。ノードN3上の電圧は、トランジスタT1のゲートに印加される。トランジスタT1および抵抗器170は、VccとVssとの間に接続される。ノードN5の電圧は、トランジスタT2のゲートを制御する。端子164からの電圧Vccpdは、トランジスタT3のゲートに印加される。出力信号SCは、ノードN6に提供される。
回路網146に付与される電力供給信号Vccpdが有効でなく、VccおよびVssが有効なとき、ノードN3の電圧は、0ボルトである。ノードN3での電圧が低いと、NMOSトランジスタT1は、オフになり、その結果として、ノードN5上での電圧がVccに上がる。ノードN5上での電圧がVccになると、トランジスタT2は、オフになる。信号Vccpdは、接地に容量的結合されているか、接地しているので、トランジスタT3はオンになる。トランジスタT2がオフで、かつ、トランジスタT3がオンになると、ノードN6上での電圧、それゆえ、出力電圧SCには、Vccが取り込まれる。
回路網146が、有効なVccpdを受ける一方、VccおよびVssも有効なとき、ノードN3上での電圧は、トランジスタT1の閾電圧Vtよりも大きい。それゆえ、トランジスタT1は、オンになる。トランジスタT1がオンになると、ノードN5上での電圧は、Vssに下がる。これによって、トランジスタT2がオンになる。トランジスタT3のゲートは、Vccpd(有効である)であり、それゆえ、トランジスタT3は、オフである。トランジスタT2がオンで、かつ、トランジスタT3がオフになると、信号SCには、Vccpdが取り込まれる。
PMOSラッチアップ防止回路網を含むPMOSボディバイアス生成回路網38の使用に関する動作が、図12の流れ図に示される。初期に、適切な電力供給電圧が、集積回路10のピンに確立される前に、信号Vcc、Vss、VnwbiasおよびVccpdは、接地に容量的結合されているか、あるいは、接地している電力供給ラインに結合されている(すなわち、まだ電力供給されていないシステムボード上の電力供給ライン)。電力供給信号がこの状態にあるとき、これらの信号は、有効でないと言える。図8の回路網が電力供給を待つ初期のパワーアップ前の状態は、図12のステップ174によって表される。
PMOSボディバイアス生成回路網38が動作する方法は、信号Vcc、Vss、VccpdおよびVnwbiasが電力供給される順番に依存する。
VssおよびVccが有効になり、その一方で、VnwbiasおよびVccpdが有効でない場合、PMOSラッチアップ防止回路網は、ラッチアップを防止するために起動する(図12のステップ176)。ステップ176の動作の間、PMOSラッチアップ防止回路網102は、回路網112を使用して、この潜在的なラッチアップ条件を検出し、低いSB制御信号を生成して、トランジスタTXP3をオンにする。これは、図7と関連して記載された通りである。トランジスタTXP3をオンにすると、端子118とライン104(図7)との間に、低抵抗経路が形成される。そのため、集積回路内のVnwbiasライン上の信号は、Vccでクランプされる。
VnwbiasをVccのような安全な電圧のままで保つと、寄生PNPトランジスタ(図5)内のエミッタ−ベース接合を順バイアスし得るVnwbiasが低い電圧に落ちることを防止できる。PNPトランジスタのエミッタ−ベース接合が順バイアスされることを防止することによって、ラッチアップも防止される。ステップ176の動作の間、制御回路網144は、潜在的なラッチアップ条件を検出し、高い信号SAを生成し、トランジスタTXP2をオフにする。これは、図10と関連して記載されたとおりである。ラッチアップ条件のある間、トランジスタTXP2をオフにすると、ライン104上の電圧Vccが端子130で接地電圧に向かって近づけられるのを防止でき、こうして、回路網38のラッチアップ防止機能が適切に機能するために役立つ。同時に、制御回路網146は、潜在的なラッチアップ条件を検出し、SC制御信号をVccに取り込み、トランジスタTXP1およびTXP2のソース−ボディ接合が順バイアスとなるのを防止する。これは、図11と関連して記載されたとおりである。
VccpdおよびVnwbias信号が有効になり、その一方で、VssおよびVccも有効であるとき、ラッチアップ条件は、もはや存在しない。その結果、図12のステップ178に示されるように、PMOSラッチアップ防止回路網は、停止する。特に、Vccpdが有効になると、制御回路網144および146は、その出力を調整する。それは、PMOSボディバイアス生成回路網が、ライン104に対して有効なVnwbiasを生成し得るようにするためである。制御回路網112は、有効なVnwbias信号を検出し、ライン104からVccをクランプする電圧をリリースする。これらの条件下で、トランジスタTXP3をオフにされ、ライン104(図8)上の電圧は、所望のVnwbiasの値で維持される。
ステップ178の間で、ラッチアップ条件がもはや存在しないとき、制御回路網144は、ノードN3上の電圧が、ノードN2上の電圧より大きいことを検出する。これは、図10と関連して記載されたとおりである。それゆえ、比較器162は、その出力に低い(Vss)信号SAを生成し、トランジスタTXP2をオンにする。トランジスタTXP2をオンにすると、トランジスタTXP1は、使用に切り替わり、分圧器132からタップされたフィードバック信号Vfbに応答して、演算増幅器134からの信号GTを用いて調節される。同時に、ノードN3上の電圧が高いと、トランジスタT1をオンになり、結果として、制御信号SCをVccpdに上げる。これは、図11と関連して記載されたとおりである。SC信号は、トランジスタTXP1およびTXP2を十分大きいボディバイアス電圧でボディバイアスするのに役立ち、そのソース−ボディp−n接合が望ましからぬ順バイアスすることを防止する。それゆえ、トランジスタTXP1およびTXP2は、通常に動作する。
全ての電力供給信号が有効である通常の動作が、図12のステップ180によって表される。このモードにおいて、信号SBは高いので、ラッチアップ防止トランジスタTXP3は、オフである。これによって、ライン104上のVnwbias電圧は、図8の調整可能な分圧器132の状態によって決定された設定点を用いて調整されることが可能になる。信号SAは低くなり、絶縁トランジスタTXP2をオンにする。これは、ライン104がVccpd端子130から絶縁されないようにするためである。通常のボディバイアス信号SCは、トランジスタTXP1およびTXP2が適切に機能するように、これらのトランジスタに付与される。トランジスタTXP1は、電圧制御された電流ソースとして動作し、ボディバイアス生成回路網38のフィードバックアレンジメント内で、ライン104上のVnwbias信号を調節するために使用される。
ステップ174の初期状態に引き続いて、VssおよびVccが有効になる前に、信号VccpdおよびVnwbiasが有効になる場合、ラッチアップ条件は検出されないので、PMOSラッチアップ防止回路網102は、図12のステップ182で示されるように、停止したまま留まる。なぜなら、Vnwbiasの値は、この状況において、決してVccより小さくならないからであり、また、制御信号SBは、決して低くされず、ラッチアップ防止トランジスタのトランジスタTXP3は、オフのまま留まるからである。制御回路網144は、ラッチアップ条件が存在しないことを検出し、低い出力信号SA(Vss)を生成し、絶縁トランジスタTXP2をオンにする。制御回路網146は、ラッチアップ条件が存在しないことを検出し、VccpdでSC信号を生成し、通常動作のために、トランジスタTXP1およびTXP2を適切にボディバイアスする。VssおよびVccが有効になった後に、ステップ180で、集積回路10は、通常に動作する。
この例が示すように、図12の右側の分岐によって示される条件のような一部の条件下で、PMOSラッチアップ防止回路網は、決して起動しない。安全な電圧において、Vnwbiasをクランプする必要は決してない(TXP3は、決してオンにならない)。なぜなら、VccおよびVssの前に、電圧VccpdおよびVnwbiasが有効になるからである。しかしながら、図12の左側の分岐によって示される条件のような他の条件下において、PMOSラッチアップ防止回路網は、潜在的なラッチアップのシナリオが検出されたときに、起動し、トランジスタTXP3をオンにする。全ての信号が有効になり、ラッチアップのリスクが過ぎ去るまで、トランジスタTXP3は、オンのまま留まり、ラインVnwbiasは、Vccに保たれる。
以上は、本発明の原理を単に例示するに過ぎず、様々な改変は、本発明の範囲および精神から逸脱することなく、当業者によってなされ得る。
本発明に従う例示的なプログラマブルロジックデバイス集積回路の図である。 本発明に従うボディバイアスされたpチャネル金属酸化物半導体トランジスタの模式図である。 本発明に従うボディバイアスされたpチャネル金属酸化物半導体トランジスタの断面図である。 本発明に従うオンダイボディバイアス生成回路網からのボディバイアスを受けるトランジスタを有する集積回路の模式図である。 例示的な相補型金属酸化物半導体トランジスタ構造の断面図であり、所定のバイアス条件下で、ラッチアップを招き得る寄生バイポーラトランジスタの位置を示す。 本発明に従うボディバイアスされたpチャネル金属酸化物半導体トランジスタにおいて、ラッチアップ防止に使用され得る例示的な回路網の回路図である。 本発明に従う図6に示されるタイプの例示的なラッチアップ防止回路網の回路図である。 本発明に従う図7に示されるタイプのラッチアップ防止回路網に含まれ得る例示的な調整可能なpチャネルボディバイアス生成回路網の回路図である。 本発明に従って、図8のプログラマブル分圧器内のトランジスタが、デコーダでデコードされる制御信号によって、いかに制御され得るかを示す図である。 本発明に従う図8のボディバイアス生成回路網内の絶縁トランジスタの動作の制御において、使用されるトランジスタゲート制御信号を生成し得る例示的な制御回路網の回路図である。 本発明に従う図8の回路網内の制御トランジスタおよび絶縁トランジスタをボディバイアスするために使用される可変トランジスタボディバイアス信号を生成し得る例示的な制御回路網の回路図である。 本発明に従うプログラマブルロジックデバイス集積回路のような集積回路上で、図8の調整可能なpチャネル金属酸化物半導体トランジスタボディバイアス回路網を用いることに関連する動作を示す図である。
符号の説明
10 プログラマブルロジックデバイス
12 入出力回路網
14 入出力ピン
16 相互接続リソース
18 プログラマブルロジック
20 プログラマブル素子
22 pチャネル金属酸化物半導体トランジスタ
24、28 注入領域
26 ゲート構造
30 p型ボディバイアス領域
32 NMOSボディバイアス生成器
34 NMOSトランジスタ
36、42 経路
38 PMOSボディバイアス生成器
40 PMOSトランジスタ

Claims (18)

  1. 複数のpチャネル金属酸化物半導体トランジスタであって、該複数のpチャネル金属酸化物半導体トランジスタのそれぞれが、ボディバイアス経路を介して調整可能なボディバイアス信号を受信するボディ端子を有する、複数のpチャネル金属酸化物半導体トランジスタと、
    調整可能なボディバイアス信号を該ボディバイアス経路に供給する調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網であって、該調整可能なボディバイアス信号は、該調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網を調整することによって決定される電圧を有する、調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網と
    を備えた集積回路であって、
    該調整可能なpチャネル金属酸化物半導体ボディバイアス生成回路網は、
    高電力供給端子と該ボディバイアス経路との間に結合されたpチャネル制御トランジスタと、
    該pチャネル金属酸化物半導体トランジスタがラッチアップすることを防止するために、該ボディバイアス経路に接続されラッチアップ防止回路
    を含み、
    潜在的なラッチアップ条件を検出した場合に、該ラッチアップ防止回路網を作動させて、電力供給信号を該ボディバイアス経路に印加するとともに、制御回路網を作動させて、該電力供給信号該pチャネル制御トランジスタのボディ端子に印加する、集積回路。
  2. 複数の入出力ピンと、
    該複数の入出力ピンのうちの1つから正の電力供給信号を受信する正の電力供給端子と、
    該複数の入出力ピンのうちの1つから、該正の電力供給信号よりも大きい高電力供給信号を受信する高電力供給端子と、
    複数のpチャネル金属酸化物半導体トランジスタを含むプログラマブルロジックであって、該複数のpチャネル金属酸化物半導体トランジスタのそれぞれが、ボディバイアス経路を介してボディバイアス信号を受信するボディ端子を有する、プログラマブルロジックと、
    該高電力供給端子と該ボディバイアス経路との間に直列結合されたpチャネル制御トランジスタおよび絶縁トランジスタと、
    該pチャネル金属酸化物半導体トランジスタがラッチアップすることを防止するために、該ボディバイアス経路に接続されラッチアップ防止回路
    を備え、
    潜在的なラッチアップ条件を検出した場合に、該ラッチアップ防止回路網を作動させて、該正の電力供給信号を該ボディバイアス経路に印加するとともに、制御回路網を作動させて、該電力供給信号該pチャネル制御トランジスタのボディ端子に印加する、プログラマブルロジックデバイス集積回路。
  3. 前記ボディバイアス経路と前記正の電力供給端子との間に結合されたpチャネルラッチアップ防止トランジスタをさらに備える、請求項2に記載のプログラマブルロジックデバイス集積回路。
  4. 前記ボディバイアス経路から調整可能なフィードバック信号を受信し、該ボディバイアス経路上の該ボディバイアス信号を調整することに応答して、前記pチャネル制御トランジスタに対するゲート制御信号を生成する、演算増幅器をさらに備える、請求項2に記載のプログラマブルロジックデバイス集積回路。
  5. 接地電力供給信号を受信する接地端子と、
    正の電力供給信号を受信する正の電力供給端子と、
    該正の電力供給信号より大きい高電力供給信号を受信する高電力供給端子と、
    複数のpチャネル金属酸化物半導体トランジスタであって、該複数のpチャネル金属酸化物半導体トランジスタのそれぞれは、ボディバイアス経路を介してボディバイアス信号を受信するボディ端子を有する、複数のpチャネル金属酸化物半導体トランジスタと、
    該ボディバイアス経路と該正の電力供給端子との間に結合されたpチャネルラッチアップ防止トランジスタと、
    該ボディバイアス経路に接続された絶縁トランジスタであって、該絶縁トランジスタがオフにされた場合に、該ボディバイアス経路を該高電力供給端子から絶縁する、絶縁トランジスタと、
    該pチャネル金属酸化物半導体トランジスタに対する潜在的なラッチアップ条件が存在する場合に、高い制御信号を生成し、該絶縁トランジスタをオフにし、該pチャネル金属酸化物半導体トランジスタに対する該潜在的なラッチアップ条件が存在しない場合に、低い制御信号を生成し、該絶縁トランジスタをオンにする、制御回路網と、
    該高電力供給端子と該ボディバイアス経路との間に結合されたpチャネル制御トランジスタと
    を備え、
    該潜在的なラッチアップ条件が存在する場合に、該正の電力供給信号が該pチャネル制御トランジスタのボディ端子に印加される、集積回路。
  6. 集積回路中のラッチアップを防止する方法であって、該集積回路は、複数の金属酸化物半導体トランジスタを有し、該複数の金属酸化物半導体トランジスタのそれぞれが、ボディバイアス経路を介してボディバイアス信号を受信し、該集積回路は、該ボディバイアス信号を該ボディバイアス経路に供給するボディバイアス生成回路網を有し、該集積回路は、高電力供給信号と該ボディバイアス経路との間に結合された制御トランジスタを有し、該集積回路は、ラッチアップ防止回路網を有し、該方法は、
    電力供給信号が有効であり、かつ該高電力供給信号および該ボディバイアス信号が無効である場合を決定することと、
    該電力供給信号が有効であり、かつ該高電力供給信号および該ボディバイアス信号が無効であることが決定される場合に、該金属酸化物半導体がラッチアップすることを防ぐために、該ボディバイアス経路に接続された該ラッチアップ防止回路網を作動させることと
    を包含し、
    潜在的なラッチアップ条件を検出した場合に、該ラッチアップ防止回路網を作動させて、該電力供給信号を該ボディバイアス経路に印加するとともに、制御回路網を作動させて、該電力供給信号を該制御トランジスタのボディバイアス端子に印加する方法。
  7. 前記電力供給信号が有効である間に、前記高電力供給信号および前記ボディバイアス信号が有効になる場合を決定することと、
    該電力供給信号が有効である間に、該高電力供給信号および該ボディバイアス信号が有効になることが決定される場合に、前記ボディバイアス経路に接続された前記ラッチアップ防止回路網を作動させないことと
    をさらに包含する、請求項6に記載の方法。
  8. 前記ラッチアップ防止回路網を作動させることは、前記電力供給信号を前記ボディバイアス経路に印加することを包含する、請求項6に記載の方法。
  9. 集積回路中のラッチアップを防止する方法であって、該集積回路は、正の電力供給経路を介して送信される正の電力供給信号と、高電力供給経路を介して送信される高電力供給信号とを用いて電力供給され、該集積回路は、複数の金属酸化物半導体トランジスタを有し、該複数の金属酸化物半導体トランジスタのそれぞれが、ボディバイアス経路を介して送信されたボディバイアス信号を受信し、該集積回路は、該高電力供給経路と該ボディバイアス経路との間に直列結合された制御トランジスタおよび絶縁トランジスタを有し、該集積回路は、ラッチアップ防止回路網を有し、該方法は、
    該正の電力供給信号が有効であり、かつ該高電力供給信号および該ボディバイアス信号が無効である場合を決定することと、
    該正の電力供給信号が有効であり、かつ該高電力供給信号および該ボディバイアス信号が無効であることが決定される場合に、該金属酸化物半導体トランジスタがラッチアップすることを防止するように該ラッチアップ防止回路網を作動させ、ラッチアップ防止トランジスタをオンにし、該ボディバイアス経路を該正の電力供給信号でクランプし、該高電力供給経路および該ボディバイアス経路が絶縁されるように該絶縁トランジスタをオフにし、該電力供給信号を該制御トランジスタのボディバイアス端子に印加することと
    を包含する、方法。
  10. 前記絶縁トランジスタを無効にすることは、前記正の電力供給信号を前記絶縁トランジスタのゲート端子に印加することを包含する、請求項9に記載の方法。
  11. 前記正の電力供給信号が有効であり、かつ前記高電力供給信号および前記ボディバイアス信号が無効であることが決定される場合に、該正の電力供給信号を前記絶縁トランジスタのボディバイアス端子に印加することをさらに包含する、請求項9に記載の方法。
  12. 前記絶縁トランジスタをオフにすることは、前記正の電力供給信号を該絶縁トランジスタのゲート端子に印加することを包含し、前記方法は、
    該正の電力供給信号が有効であり、かつ前記高電力供給信号および該ボディバイアス信号が無効であることが決定される場合に該正の電力供給信号を該絶縁トランジスタのボディバイアス端子に印加することさらに包含する、請求項9に記載の方法。
  13. 複数の金属酸化物半導体トランジスタであって、該複数の金属酸化物半導体トランジスタのそれぞれが、ボディバイアス経路を介してボディバイアス信号を受信するボディ端子を有する、複数の金属酸化物半導体トランジスタと、
    該ボディバイアス信号を該ボディバイアス経路に供給する金属酸化物半導体ボディバイアス生成回路網と
    を備えた集積回路であって、
    該金属酸化物半導体ボディバイアス生成回路網は、
    高電力供給端子と該ボディバイアス経路との間に結合された制御トランジスタと、
    該金属酸化物半導体トランジスタがラッチアップすることを防止するために、該ボディバイアス経路に接続されラッチアップ防止回路と、
    該制御トランジスタと該ボディバイアス経路との間に結合された絶縁トランジスタと
    を含む、集積回路。
  14. 前記金属酸化物半導体トランジスタは、pチャネル金属酸化物半導体トランジスタを備える、請求項13に記載の集積回路。
  15. 前記制御トランジスタは、pチャネル金属酸化物半導体制御トランジスタを備える、請求項13に記載の集積回路。
  16. 前記制御トランジスタはボディ端子を有し、前記金属酸化物半導体ボディバイアス生成回路網は、制御回路網をさらに備え、該制御回路網は該制御トランジスタの該ボディ端子に接続される出力を有する、請求項13に記載の集積回路。
  17. 前記金属酸化物半導体ボディバイアス生成回路網は、演算増幅器をさらに備え、該演算増幅器は、前記ボディバイアス経路から調整可能なフィードバック信号を受信し、応答して前記制御トランジスタに対するゲート制御信号を生成する、請求項13に記載の集積回路。
  18. 前記ラッチアップ防止回路網は、ラッチアップ防止トランジスタと制御回路網とを含み、該制御回路網は、正の電力供給信号および前記ボディバイアス信号をモニタリングし、潜在的なラッチアップ条件が存在する場合に、該ボディバイアス経路を該正の電力供給信号でクランプするために、該ラッチアップ防止トランジスタをオンにする低い制御信号を生成する、請求項13に記載の集積回路。
JP2007044383A 2006-03-06 2007-02-23 ラッチアップ防止を有する調整可能なボディバイアス生成回路網 Expired - Fee Related JP4638456B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/369,548 US7330049B2 (en) 2006-03-06 2006-03-06 Adjustable transistor body bias generation circuitry with latch-up prevention

Publications (3)

Publication Number Publication Date
JP2007243179A JP2007243179A (ja) 2007-09-20
JP2007243179A5 JP2007243179A5 (ja) 2010-03-11
JP4638456B2 true JP4638456B2 (ja) 2011-02-23

Family

ID=38069102

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007044383A Expired - Fee Related JP4638456B2 (ja) 2006-03-06 2007-02-23 ラッチアップ防止を有する調整可能なボディバイアス生成回路網

Country Status (4)

Country Link
US (2) US7330049B2 (ja)
EP (1) EP1840965B1 (ja)
JP (1) JP4638456B2 (ja)
CN (1) CN101034882B (ja)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100605591B1 (ko) * 2005-01-31 2006-07-31 주식회사 하이닉스반도체 반도체 소자의 승압전압 발생기
CN101238641B (zh) * 2005-08-02 2010-09-08 松下电器产业株式会社 半导体集成电路
US7495471B2 (en) * 2006-03-06 2009-02-24 Altera Corporation Adjustable transistor body bias circuitry
US7355437B2 (en) * 2006-03-06 2008-04-08 Altera Corporation Latch-up prevention circuitry for integrated circuits with transistor body biasing
US7459958B2 (en) * 2006-06-19 2008-12-02 International Business Machines Corporation Circuits to reduce threshold voltage tolerance and skew in multi-threshold voltage applications
US7696811B2 (en) * 2006-06-19 2010-04-13 International Business Machines Corporation Methods and circuits to reduce threshold voltage tolerance and skew in multi-threshold voltage applications
JP2008004741A (ja) * 2006-06-22 2008-01-10 Matsushita Electric Ind Co Ltd 半導体集積回路及びそれを備えた情報機器、通信機器、av機器及び移動体
US20080180129A1 (en) * 2006-08-31 2008-07-31 Actel Corporation Fpga architecture with threshold voltage compensation and reduced leakage
US8242742B2 (en) * 2007-06-06 2012-08-14 O2Micro, Inc Chargers, systems and methods for detecting a power source
FR2921756B1 (fr) * 2007-09-27 2009-12-25 Commissariat Energie Atomique Matrice de pixels dotes de regulateurs de tension.
FR2921788B1 (fr) * 2007-10-01 2015-01-02 Commissariat Energie Atomique Dispositif microelectronique a matrice de pixels dote de moyens generateurs de compensation de chute ohmique sur des almentations
US7639041B1 (en) 2008-07-28 2009-12-29 Altera Corporation Hotsocket-compatible body bias circuitry with power-up current reduction capabilities
CN103109525B (zh) * 2008-10-08 2016-04-06 法国原子能委员会 一种矩阵微电子装置
US8742831B2 (en) * 2009-02-23 2014-06-03 Honeywell International Inc. Method for digital programmable optimization of mixed-signal circuits
US7911261B1 (en) 2009-04-13 2011-03-22 Netlogic Microsystems, Inc. Substrate bias circuit and method for integrated circuit device
US9142951B2 (en) 2009-07-28 2015-09-22 Stmicroelectronics (Rousset) Sas Electronic device for protecting against a polarity reversal of a DC power supply voltage, and its application to motor vehicles
FR2948828B1 (fr) 2009-07-28 2011-09-30 St Microelectronics Rousset Dispositif electronique de protection contre une inversion de polarite d'une tension d'alimentation continue, et application au domaine de l'automobile
US8618786B1 (en) 2009-08-31 2013-12-31 Altera Corporation Self-biased voltage regulation circuitry for memory
JP2011060358A (ja) * 2009-09-08 2011-03-24 Elpida Memory Inc 半導体装置及びその制御方法
KR101699033B1 (ko) * 2009-11-30 2017-01-24 에스케이하이닉스 주식회사 출력 드라이버
US9208109B2 (en) * 2011-06-01 2015-12-08 Altera Corporation Memory controllers with dynamic port priority assignment capabilities
US9350321B2 (en) * 2011-08-18 2016-05-24 Analog Devices, Inc. Low distortion impedance selection and tunable impedance circuits
US8698516B2 (en) * 2011-08-19 2014-04-15 Altera Corporation Apparatus for improving performance of field programmable gate arrays and associated methods
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
FR2988239A1 (fr) * 2012-03-16 2013-09-20 Converteam Technology Ltd Procede de compensation des tolerances de fabrication d'au moins un parametre electrique d'un transistor de puissance et systeme associe
KR102038041B1 (ko) * 2012-08-31 2019-11-26 에스케이하이닉스 주식회사 전원 선택 회로
US8787096B1 (en) * 2013-01-16 2014-07-22 Qualcomm Incorporated N-well switching circuit
US9112495B1 (en) * 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
CN104464788B (zh) * 2014-12-30 2017-06-06 上海华虹宏力半导体制造有限公司 分压电路、操作电压的控制电路及存储器
US9591245B2 (en) 2015-04-14 2017-03-07 Semiconductor Components Industries, Llc Image sensor pixels with adjustable body bias
US9584118B1 (en) * 2015-08-26 2017-02-28 Nxp Usa, Inc. Substrate bias circuit and method for biasing a substrate
US9762833B1 (en) 2016-05-24 2017-09-12 Omnivision Technologies, Inc. Adaptive body biasing circuit for latch-up prevention
EP3343769B1 (en) * 2016-12-27 2019-02-06 GN Hearing A/S Integrated circuit comprising adjustable back biasing of one or more logic circuit regions
CN107659303A (zh) * 2017-08-31 2018-02-02 晨星半导体股份有限公司 输入输出电路
US10552563B2 (en) * 2018-01-10 2020-02-04 Qualcomm Incorporated Digital design with bundled data asynchronous logic and body-biasing tuning
TWI642274B (zh) * 2018-03-20 2018-11-21 大陸商北京集創北方科技股份有限公司 栓鎖偵測電路
CN108270422A (zh) * 2018-03-20 2018-07-10 北京集创北方科技股份有限公司 防闩锁电路及集成电路
US10469097B1 (en) 2018-12-06 2019-11-05 Nxp Usa, Inc. Body bias circuit for current steering DAC switches
CN109814650B (zh) * 2019-01-23 2020-05-22 西安交通大学 一种低压差线性稳压器用箝位晶体管结构
US11099224B2 (en) * 2019-05-24 2021-08-24 Marvell Israel (M.I.S.L) Ltd. Method and circuitry for semiconductor device performance characterization
KR20210084955A (ko) * 2019-12-30 2021-07-08 에스케이하이닉스 주식회사 데이터 입출력 회로를 포함하는 메모리 장치
US11688739B2 (en) * 2021-03-19 2023-06-27 Pixart Imaging Inc. Logic circuit capable of preventing latch-up
CN113849438B (zh) * 2021-09-27 2024-03-08 浙江华创视讯科技有限公司 保护电路、保护电路的方法、存储介质及电子装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000066744A (ja) * 1998-08-17 2000-03-03 Nec Corp レギュレータ内蔵半導体装置
JP2000138348A (ja) * 1998-08-25 2000-05-16 Toshiba Corp 半導体装置
JP2000269356A (ja) * 1999-03-15 2000-09-29 Hitachi Ltd 半導体装置
JP2003330551A (ja) * 2002-05-09 2003-11-21 Fuji Electric Co Ltd 電圧可変レギュレータ
JP2005503668A (ja) * 2001-09-18 2005-02-03 ザイリンクス インコーポレイテッド プログラマブルデバイスの一部にウェルバイアスを選択的にかけるための構造および方法
JP2006014371A (ja) * 2005-09-05 2006-01-12 Renesas Technology Corp 半導体装置

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62209846A (ja) * 1986-03-10 1987-09-16 Fujitsu Ltd 半導体集積回路
JPH0783254B2 (ja) * 1989-03-22 1995-09-06 株式会社東芝 半導体集積回路
DE4221575C2 (de) * 1992-07-01 1995-02-09 Ibm Integrierter CMOS-Halbleiterschaltkreis und Datenverarbeitungssystem mit integriertem CMOS-Halbleiterschaltkreis
US5341034A (en) * 1993-02-11 1994-08-23 Benchmarq Microelectronics, Inc. Backup battery power controller having channel regions of transistors being biased by power supply or battery
KR0169157B1 (ko) * 1993-11-29 1999-02-01 기다오까 다까시 반도체 회로 및 mos-dram
US5422591A (en) * 1994-01-03 1995-06-06 Sgs-Thomson Microelectronics, Inc. Output driver circuit with body bias control for multiple power supply operation
JPH08181598A (ja) * 1994-12-27 1996-07-12 Oki Electric Ind Co Ltd 半導体装置
US5689209A (en) * 1994-12-30 1997-11-18 Siliconix Incorporated Low-side bidirectional battery disconnect switch
JP3444687B2 (ja) * 1995-03-13 2003-09-08 三菱電機株式会社 不揮発性半導体記憶装置
US5670907A (en) 1995-03-14 1997-09-23 Lattice Semiconductor Corporation VBB reference for pumped substrates
US5674090A (en) 1995-03-15 1997-10-07 Sumitomo Wiring Systems, Ltd. Casing for receiving electrical connection box
US5631606A (en) 1995-08-01 1997-05-20 Information Storage Devices, Inc. Fully differential output CMOS power amplifier
JP2931776B2 (ja) * 1995-08-21 1999-08-09 三菱電機株式会社 半導体集積回路
US5600264A (en) 1995-10-16 1997-02-04 Xilinx, Inc. Programmable single buffered six pass transistor configuration
US5689144A (en) * 1996-05-15 1997-11-18 Siliconix Incorporated Four-terminal power MOSFET switch having reduced threshold voltage and on-resistance
KR100189752B1 (ko) * 1996-06-01 1999-06-01 구본준 독립적인 웰 바이어스 전압을 가진 전압 펌프회로
KR100203136B1 (ko) * 1996-06-27 1999-06-15 김영환 래치-업을 방지하는 상승전압발생기
JP3264622B2 (ja) * 1996-07-16 2002-03-11 株式会社東芝 半導体装置
US6411156B1 (en) * 1997-06-20 2002-06-25 Intel Corporation Employing transistor body bias in controlling chip parameters
US6593799B2 (en) * 1997-06-20 2003-07-15 Intel Corporation Circuit including forward body bias from supply voltage and ground nodes
US5841694A (en) * 1997-07-30 1998-11-24 Programmable Silicon Solutions High performance programmable interconnect
US6535034B1 (en) * 1997-07-30 2003-03-18 Programmable Silicon Solutions High performance integrated circuit devices adaptable to use lower supply voltages with smaller device geometries
US6346415B1 (en) * 1997-10-21 2002-02-12 Targeted Genetics Corporation Transcriptionally-activated AAV inverted terminal repeats (ITRS) for use with recombinant AAV vectors
US6097242A (en) * 1998-02-26 2000-08-01 Micron Technology, Inc. Threshold voltage compensation circuits for low voltage and low power CMOS integrated circuits
US6157691A (en) * 1998-04-14 2000-12-05 Lsi Logic Corporation Fully integrated phase-locked loop with resistor-less loop filer
US6242728B1 (en) * 1998-08-20 2001-06-05 Foveon, Inc. CMOS active pixel sensor using native transistors
TW453032B (en) * 1998-09-09 2001-09-01 Hitachi Ltd Semiconductor integrated circuit apparatus
JP4384759B2 (ja) * 1998-09-14 2009-12-16 テキサス インスツルメンツ インコーポレイテツド Mos集積回路の特性を改良するためのボディ電圧のパルス動作
US6484265B2 (en) * 1998-12-30 2002-11-19 Intel Corporation Software control of transistor body bias in controlling chip parameters
US6271713B1 (en) * 1999-05-14 2001-08-07 Intel Corporation Dynamic threshold source follower voltage driver circuit
JP2001034040A (ja) * 1999-07-21 2001-02-09 Sharp Corp 接触帯電装置ならびにそれを備えたプロセスカートリッジおよび画像形成装置
US6448840B2 (en) * 1999-11-30 2002-09-10 Intel Corporation Adaptive body biasing circuit and method
KR100347140B1 (ko) * 1999-12-31 2002-08-03 주식회사 하이닉스반도체 전압 변환 회로
KR20010077099A (ko) * 2000-01-31 2001-08-17 윤종용 자기 정렬된 웰 바이어스 영역을 갖는 모스 트랜지스터 및그 제조방법
JP2001230664A (ja) * 2000-02-15 2001-08-24 Mitsubishi Electric Corp 半導体集積回路
US20020140496A1 (en) * 2000-02-16 2002-10-03 Ali Keshavarzi Forward body biased transistors with reduced temperature
JP2001339045A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体集積回路装置
US6549032B1 (en) * 2000-08-22 2003-04-15 Altera Corporation Integrated circuit devices with power supply detection circuitry
TW463466B (en) * 2000-08-30 2001-11-11 Silicon Integrated Sys Corp Current A/D converter and the unit cell thereof
TW448617B (en) * 2000-09-15 2001-08-01 Silicon Integrated Sys Corp N-well bias preset circuit for CMOS and the method thereof
US6343044B1 (en) * 2000-10-04 2002-01-29 International Business Machines Corporation Super low-power generator system for embedded applications
US6744301B1 (en) * 2000-11-07 2004-06-01 Intel Corporation System using body-biased sleep transistors to reduce leakage power while minimizing performance penalties and noise
KR100385230B1 (ko) * 2000-12-28 2003-05-27 삼성전자주식회사 불휘발성 반도체 메모리 장치의 프로그램 방법
US6373281B1 (en) * 2001-01-22 2002-04-16 International Business Machines Corporation Tri-state dynamic body charge modulation for sensing devices in SOI RAM applications
US6597203B2 (en) * 2001-03-14 2003-07-22 Micron Technology, Inc. CMOS gate array with vertical transistors
US6429726B1 (en) * 2001-03-27 2002-08-06 Intel Corporation Robust forward body bias generation circuit with digital trimming for DC power supply variation
US6469572B1 (en) * 2001-03-28 2002-10-22 Intel Corporation Forward body bias generation circuits based on diode clamps
US6670655B2 (en) * 2001-04-18 2003-12-30 International Business Machines Corporation SOI CMOS device with body to gate connection
US6605981B2 (en) * 2001-04-26 2003-08-12 International Business Machines Corporation Apparatus for biasing ultra-low voltage logic circuits
GB0111300D0 (en) * 2001-05-09 2001-06-27 Mitel Knowledge Corp Method and apparatus for synchronizing slave network node to master network node
JP2002343083A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp 半導体装置
US6554249B2 (en) * 2001-05-30 2003-04-29 Fmc Technologies, Inc. Plug valve having seal segments with booster springs
US6518826B2 (en) * 2001-06-28 2003-02-11 Intel Corporation Method and apparatus for dynamic leakage control
US6763484B2 (en) * 2001-06-28 2004-07-13 Intel Corporation Body bias using scan chains
US6483375B1 (en) * 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6559702B2 (en) * 2001-07-19 2003-05-06 Texas Instruments Incorporated Bias generator and method for improving output skew voltage
US6630700B2 (en) * 2001-10-05 2003-10-07 Motorola, Inc. NMOS circuit in isolated wells that are connected by a bias stack having pluralirty of diode elements
JP4090231B2 (ja) * 2001-11-01 2008-05-28 株式会社ルネサステクノロジ 半導体集積回路装置
US6650141B2 (en) * 2001-12-14 2003-11-18 Lattice Semiconductor Corporation High speed interface for a programmable interconnect circuit
US6614301B2 (en) * 2002-01-31 2003-09-02 Intel Corporation Differential amplifier offset adjustment
US20030151428A1 (en) * 2002-02-12 2003-08-14 Ouyang Paul H. 5 Volt tolerant input/output buffer
US6525559B1 (en) * 2002-04-22 2003-02-25 Pericom Semiconductor Corp. Fail-safe circuit with low input impedance using active-transistor differential-line terminators
US7254603B2 (en) * 2002-05-03 2007-08-07 Sonics, Inc. On-chip inter-network performance optimization using configurable performance parameters
JP4401621B2 (ja) * 2002-05-07 2010-01-20 株式会社日立製作所 半導体集積回路装置
US6870213B2 (en) * 2002-05-10 2005-03-22 International Business Machines Corporation EEPROM device with substrate hot-electron injector for low-power
US20030218478A1 (en) * 2002-05-24 2003-11-27 Sani Mehdi Hamidi Regulation of crowbar current in circuits employing footswitches/headswitches
US6731158B1 (en) * 2002-06-13 2004-05-04 University Of New Mexico Self regulating body bias generator
EP1529343A1 (en) * 2002-08-08 2005-05-11 Koninklijke Philips Electronics N.V. Circuit and method for controlling the threshold voltage of transistors
US6972599B2 (en) * 2002-08-27 2005-12-06 Micron Technology Inc. Pseudo CMOS dynamic logic with delayed clocks
US7120804B2 (en) * 2002-12-23 2006-10-10 Intel Corporation Method and apparatus for reducing power consumption through dynamic control of supply voltage and body bias including maintaining a substantially constant operating frequency
US6972593B1 (en) * 2003-08-05 2005-12-06 Altera Corp. Method and apparatus for protecting a circuit during a hot socket condition
US6975535B2 (en) * 2003-08-14 2005-12-13 Mosel Vitelic, Inc. Electronic memory, such as flash EPROM, with bitwise-adjusted writing current or/and voltage
US7098689B1 (en) * 2003-09-19 2006-08-29 Xilinx, Inc. Disabling unused/inactive resources in programmable logic devices for static power reduction
US6972616B2 (en) * 2004-04-14 2005-12-06 Broadcom Corporation Low-noise, fast-settling bias circuit and method
US7348827B2 (en) * 2004-05-19 2008-03-25 Altera Corporation Apparatus and methods for adjusting performance of programmable logic devices
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US7129745B2 (en) * 2004-05-19 2006-10-31 Altera Corporation Apparatus and methods for adjusting performance of integrated circuits
US7119604B2 (en) 2004-06-17 2006-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Back-bias voltage regulator having temperature and process variation compensation and related method of regulating a back-bias voltage
US20060119382A1 (en) * 2004-12-07 2006-06-08 Shumarayev Sergey Y Apparatus and methods for adjusting performance characteristics of programmable logic devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000066744A (ja) * 1998-08-17 2000-03-03 Nec Corp レギュレータ内蔵半導体装置
JP2000138348A (ja) * 1998-08-25 2000-05-16 Toshiba Corp 半導体装置
JP2000269356A (ja) * 1999-03-15 2000-09-29 Hitachi Ltd 半導体装置
JP2005503668A (ja) * 2001-09-18 2005-02-03 ザイリンクス インコーポレイテッド プログラマブルデバイスの一部にウェルバイアスを選択的にかけるための構造および方法
JP2003330551A (ja) * 2002-05-09 2003-11-21 Fuji Electric Co Ltd 電圧可変レギュレータ
JP2006014371A (ja) * 2005-09-05 2006-01-12 Renesas Technology Corp 半導体装置

Also Published As

Publication number Publication date
CN101034882B (zh) 2012-07-18
CN101034882A (zh) 2007-09-12
EP1840965B1 (en) 2013-09-18
US7330049B2 (en) 2008-02-12
US20070205802A1 (en) 2007-09-06
EP1840965A3 (en) 2009-11-11
US20080094100A1 (en) 2008-04-24
EP1840965A2 (en) 2007-10-03
US7514953B2 (en) 2009-04-07
JP2007243179A (ja) 2007-09-20

Similar Documents

Publication Publication Date Title
JP4638456B2 (ja) ラッチアップ防止を有する調整可能なボディバイアス生成回路網
JP4583393B2 (ja) ボディバイアスされたトランジスタを有する集積回路に対するラッチアップ防止回路網
EP0844737B1 (en) Input buffer circuit and bidirectional buffer circuit for plural voltage systems
US7589584B1 (en) Programmable voltage regulator with dynamic recovery circuits
CN108206036B (zh) 具有单粒子锁定防止电路的存储器
US7768299B2 (en) Voltage tolerant floating N-well circuit
US5751168A (en) Bus switch integrated circuit with voltage translation
US6630844B1 (en) Supply voltage detection circuit
US5764097A (en) Automatically biased voltage level converter
JP6177939B2 (ja) 半導体集積回路装置
US7764108B2 (en) Electrical fuse circuit
US6924689B2 (en) Level shifter reference generator
US6222387B1 (en) Overvoltage tolerant integrated circuit input/output interface
US7786756B1 (en) Method and system for latchup suppression
KR100605591B1 (ko) 반도체 소자의 승압전압 발생기
US6885232B2 (en) Semiconductor integrated circuit having a function determination circuit
US20110026333A1 (en) Bulk bias voltage generating device and semiconductor memory apparatus including the same
JP2010114462A (ja) 電流量を制御するシステム
JPH09298459A (ja) Cmos集積回路用保護回路
KR100794991B1 (ko) 반도체 메모리 장치의 초기 전압 제어 회로
JPH0513543A (ja) 半導体集積回路
KR960000899B1 (ko) 고전압 선택회로 및 그를 포함하는 데이타 출력버퍼
KR20000039591A (ko) 반도체 메모리 장치의 옵션 패드 본딩 회로
KR20020035794A (ko) 내부 배전 전압을 갖는 회로 장치
KR19990060787A (ko) 반도체 장치의 내부 전원 전압 발생 회로

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100121

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20100121

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20100301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101004

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101111

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101125

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4638456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees