JP4548552B2 - 基板をパッシベートするための方法及びプラズマ処理システム - Google Patents
基板をパッシベートするための方法及びプラズマ処理システム Download PDFInfo
- Publication number
- JP4548552B2 JP4548552B2 JP50500799A JP50500799A JP4548552B2 JP 4548552 B2 JP4548552 B2 JP 4548552B2 JP 50500799 A JP50500799 A JP 50500799A JP 50500799 A JP50500799 A JP 50500799A JP 4548552 B2 JP4548552 B2 JP 4548552B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- plasma
- processing system
- plasma processing
- passivation
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 111
- 238000012545 processing Methods 0.000 title claims abstract description 48
- 238000000034 method Methods 0.000 title claims description 54
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 26
- 238000005530 etching Methods 0.000 claims abstract description 15
- 238000002161 passivation Methods 0.000 claims description 69
- 230000000903 blocking effect Effects 0.000 claims description 42
- 230000007935 neutral effect Effects 0.000 claims description 36
- 150000001805 chlorine compounds Chemical class 0.000 claims description 12
- 230000008569 process Effects 0.000 claims description 11
- 238000004519 manufacturing process Methods 0.000 claims description 5
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 claims description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims 1
- 229910052801 chlorine Inorganic materials 0.000 claims 1
- 239000000460 chlorine Substances 0.000 claims 1
- 239000002184 metal Substances 0.000 abstract description 6
- 238000004380 ashing Methods 0.000 description 30
- 235000012431 wafers Nutrition 0.000 description 18
- 238000005215 recombination Methods 0.000 description 16
- 230000006798 recombination Effects 0.000 description 16
- 230000007797 corrosion Effects 0.000 description 9
- 238000005260 corrosion Methods 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 238000001465 metallisation Methods 0.000 description 9
- 230000008901 benefit Effects 0.000 description 5
- 238000002474 experimental method Methods 0.000 description 5
- 239000007787 solid Substances 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000010420 art technique Methods 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 125000001967 indiganyl group Chemical group [H][In]([H])[*] 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02071—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
- Photovoltaic Devices (AREA)
Description
本発明は、半導体集積回路(IC)の製造に関する。具体的には、本発明は、半導体基板を金属エッチング後にパッシベートするための装置および方法に関する。
背景技術
半導体IC製造において、コンポーネントトランジスタなどのデバイスは、基板上に形成される。基板は、典型的にはシリコンからなる。基板の用途には、例えば、ICまたはフラットパネルディスプレイの製造がある。様々な材料からなる連続層を、基板上に堆積させて、層スタックを形成する。次いで、ICのデバイスを互いに結合して所望の回路を形成するために、金属配線が使用されてもよい。
上記金属配線を形成するためには、適切なリソグラフィー技術を行った後に、メタライゼーション層を含む層スタックの層の一部分をエッチングしてもよい。例示的には、そのようなリソグラフィー技術の1つは、コンタクトまたはステッパリソグラフィシステムにおいてフォトレジスト材料を露光することによりフォトレジスト層をパターニングする工程と、フォトレジスト材料を現像してマスクを形成し、その後のエッチングを容易にする工程と、を伴う。メタライゼーション層のうち、マスクで保護されていない領域は、適切なエッチング液を用いてエッチング除去することができ、メタライゼーション配線またはメタライゼーション形状を残す。
基板のエッチングは、場合によっては、揮発性の副生物を作り出し得る。この副生物には、例えば、塩化化合物などが含まれる。塩化化合物などのこれらの副生物は、エッチングプロセス中に基板に吸着され得る。エッチング終了後、アッシング工程を使用して、フォトレジスト層と、エッチング中に基板に吸着された塩化化合物の幾らかとを除去してもよい。従来技術では、アッシングは、典型的には、O2/N2をアッシングソースガスとして用いるプラズマ反応炉システムにおいて達成される。従来技術のプラズマ反応炉は、典型的には、上記O2/N2をアッシングソースガスとして用いるアッシング用に特に構成されている。
従来技術のアッシングシステムは、図1を参照すると、より良く理解され得る。図1では、例えばウエハからフォトレジスト層をストリップするのに適切であり得る非常に簡略化されたアッシングシステム100が示される。マイクロ波源130は、マイクロ波を生成する。このマイクロ波は、典型的には導波路118を通過し、ポート102を通して導入されたO2/N2ソースガスを励起し、それにより、O2/N2プラズマおよびUV光を生成する。
O2/N2プラズマおよびUV光は、アッシングチャンバ108に入り、そして偏向プレート104により偏向される。偏向プレート104は、典型的には、UV光を遮って、ウエハ112を直接露光しないようにする。公知のように、プラズマが偏向プレート104に接すると、プラズマの帯電種、電子、および反応性中性種の幾らかが再結合され得る。再結合は、プラズマの反応特性を変え得る。例示的には、反応性中性種は、再結合されると反応性がより低くなる可能性があり、それにより、アッシングプロセスを行う際の効率に影響を及ぼし得る。
偏向後、O2/N2プラズマは、アッシングチャンバ108内を下方に移動し続け、バッフルプレート110に接する。これにより、反応性中性種のさらなる再結合と、帯電種および電子の再結合とが起こり得る。残りのO2/N2プラズマは、バッフルプレート110の孔を通って拡散し、そしてウエハ112(チャック114上に配置された状態で示されている)に接して、ウエハ上のフォトレジストをアッシングするとともに、吸着された塩化化合物の幾らかを除去する。残りのO2/N2プラズマおよびアッシングの副生物はすべて、排出口116を通ってアッシングチャンバ108から出ていく。
アッシングチャンバ108内のバッフルプレート110および偏向プレート104は、典型的には、O2/N2プラズマをウエハ112全体に均一に分配するように構成される。偏向プレート104は、図2を参照すると、より良く理解され得る。図2には、従来技術の偏向プレート104が示される。この偏向プレート104は、石英またはO2/N2プラズマでエッチングされにくい同様の適切な材料からなっていてもよい。1つの従来技術例では、中実の偏向プレート104は、厚さ約0.13インチ、外径約2インチの実質的にディスク形であってもよい。偏向プレート104は、典型的には、O2/N2プラズマ励起中に生成されるUV光による直接露光からウエハを保護するような大きさにされる。
バッフルプレート110は、図3を参照するとより良く理解され得る。図3には、例示的な従来技術のバッフルプレート110が示される。このバッフルプレート110は、外径約8.4インチ、厚さ約0.13インチの実質的にディスク形であってもよい。バッフルプレート110もまた、石英からなっていてもよく、同様にO2/N2プラズマでエッチングされにくい別の適切な材料からなっていてもよい。バッフルプレート110の中央部は、典型的には、均一な孔分布を有する多孔領域204を含む。1つの例示的な従来技術のバッフルプレートでは、多孔領域204の典型的な孔206は、約0.13インチの内径を有していてもよく、そして、多孔領域204のその他の孔から約0.5インチだけ間隔をあけて配置されてもよい。多孔領域は、バッフルプレート110の周囲までずっと広がっていてもよく、環状領域208で終わっていてもよい。図3に示されるように、多孔領域204を囲む環状領域208は、中実であってもよく、そして、アッシングチャンバ108内でバッフルプレート110を支持するために用いられてもよい。
従来技術のアッシングシステム、例えば図1のアッシングシステム100は、過去において、フォトレジスト材料と、吸着された塩化化合物の幾らかとを除去する場合に有用であることが分かっているが、最近の基板をアッシングする場合、基板によっては不適切であることが分かっている。例示的には、従来技術のアッシングシステムは、基板のメタライゼーション層の一部分としてCuを使用する基板に起こる腐食の問題点に取り組む点では不適切である。なぜなら、メタライゼーション層の高Cu濃度が、ガルバーニ効果を引き起こし、これが、エッチング終了後に配線腐食の問題点を悪化させ得るからである。従来技術のアッシングシステムでアッシングされた基板に見られたように、吸着された塩化化合物が適切に除去されなければ、結果として得られるICには、使用中に欠陥が生じてしまう可能性がある。
従来技術のアッシングシステムが、吸着されたCl2を適切に除去できないことにより、かなり幅の狭い設計ルールを使用するICにおいても問題点が起こる。配線幅が十分に広い場合、幾らかの腐食が起こっても、ICの性能に過度には影響を及ぼさない可能性がある。しかし、最近のIC回路は、より高い回路密度を達成するために、ますます幅の狭い設計ルールでスケールされている。その結果、配線幅、または隣接する配線間の間隔は、着実に減少してきている。例示的には、4メガビット(Mb)のダイナミックランダムアクセスメモリ(DRAM)ICでは、約0.8ミクロンの線幅が許容可能と考えられているが、256MbのDRAM ICは、好ましくは、0.25ミクロンという細さの配線、またはそれよりも細い配線さえ使用している。配線幅が狭くなってきているため、腐食の問題点に対処するための安全マージンは、より少なくなっている。なぜなら、より細い配線は、より腐食損傷しやすい可能性があるからである。このことは、メタライゼーション層にCuが使用される場合にも、上記理由のため、二重に当てはまる。
吸着された塩化化合物をより多く除去するために、従来技術では、アッシングプロセスの前に行われ得る別個のパッシベーション工程が提供されている。このパッシベーション工程では、吸着された塩化化合物の多くを除去して、腐食損傷を最小限に抑える。基板をパッシベートするために、典型的には、H2Oプラズマが使用される。H2Oプラズマの反応性中性種と、基板との反応により、エッチング中に基板に吸着された塩化化合物がより多く除去され、それにより、腐食損傷が最小限に抑えられる。パッシベーションは、メタライゼーション層にCuが存在する場合、または、メタライゼーション線が細い場合に有用であることが分かっている。
従来技術では、典型的には、アッシングに使用するチャンバと同じチャンバをパッシベーションにも使用する。しかし、従来技術のアッシングチャンバ108をH2Oパッシベーションに用いる場合、比較的長いパッシベーションプロセス時間が必要とされ得ることが分かっている。なぜなら、従来技術のアッシングチャンバ108はO2/N2アッシングのために最適にされており、また、従来技術のアッシングチャンバ108の二重プレート構造のため、反応性中性種の再結合の程度が高いからである。上記のように、これにより、反応性中性種の密度が低減されるとともに、基板がパッシベートされる際の効率が低減される。他にも理由はあるが、高い程度の再結合が起こる理由は、反応性中性種の多くが、2つのプレート、即ち、偏向プレート104およびバッフルプレート110の大きい内面に接したときに再結合されるからである。
上記に鑑みて、より高い程度の効率で基板をパッシベートおよびアッシングする改良された装置および方法が望まれている。改良された装置および方法は、好ましくは、吸着されたCl2を高パーセンテージで除去して金属腐食の問題点を軽減し、なおかつ、そのようなパッシベーションおよびアッシングに必要とされる時間を最小限にする。
発明の開示
本発明は、1つの実施形態では、金属エッチング後に基板を処理するためのプラズマ処理チャンバで使用するために構成されたバッフルプレートに関する。基板は、基板上に配置されたフォトレジスト層を含む。バッフルプレートは、プラズマ処理チャンバのプラズマ発生領域と、基板との間に配置される。バッフルプレートは、バッフルプレートの中央領域に配置された中央遮断部を含む。バッフルプレートは、中央遮断部を囲む環状多孔部をさらに含む。環状多孔部は、複数の貫通孔を含み、複数の貫通孔は、反応性中性種を有するH2Oプラズマがこれらの孔を通過して基板の表面に到達することを可能にするように構成される。
別の実施形態では、本発明は、プラズマ処理チャンバにおいて、エッチング後に基板を処理する方法に関する。基板は、基板上に配置されたフォトレジスト層を含む。この方法は、プラズマ処理チャンバのプラズマ発生領域と、基板との間にバッフルプレートを設置する工程を含む。バッフルプレートは、バッフルプレートの中央領域に配置された中央遮断部と、中央遮断部を囲む環状多孔部とを含む。環状多孔部は、複数の貫通孔を含み、複数の貫通孔は、H2Oプラズマの反応性中性種がこれらの孔を通過して基板の表面に到達することを可能にするように構成される。
この方法は、H2O蒸気をプラズマ処理チャンバ内に流し込む工程をさらに含む。この方法はまた、H2O蒸気からH2Oプラズマを発生させる工程を含む。このH2Oプラズマを用いて基板を処理する工程もさらに含まれる。
さらに他の実施形態では、本発明は、基板を処理する際に使用するために構成されたプラズマ処理システムに関する。基板は、基板上に配置されたフォトレジスト層を含む。プラズマ処理システムは、プラズマ発生領域と、プラズマ発生領域と基板との間に配置されたバッフルプレートとを含む。バッフルプレートは、バッフルプレートの中央領域に配置された中央遮断部を含む。バッフルプレートは、中央遮断部を囲む環状多孔部をさらに含む。環状多孔部は、複数の貫通孔を含み、複数の貫通孔は、プラズマ発生領域で発生されたH2Oプラズマがこれらの孔を通過して基板の表面に到達することを可能にするように構成される。プラズマ処理システムはまた、バッフルプレートの下に配置され、処理中に基板を支持するためのチャックを含む。
以下、本発明の上記およびその他の特徴を、以下の図面とともに、発明の詳細な説明において、より詳細に説明する。
【図面の簡単な説明】
添付の図面とともに以下の説明を参照することにより、本発明が、そのさらなる利点とともに最良に理解され得る。
図1は、説明を容易にするために非常に簡略化された従来技術のアッシングシステム100を示す。
図2は、従来技術の偏向プレートを示す。
図3は、従来技術の偏向プレートとともに用いられる従来技術のバッフルプレートを示す。
図4は、本発明の1つの実施形態による本発明のプラズマパッシベーションチャンバの簡略化された概略図を示す。
図5は、本発明の1つの実施形態による本発明のバッフルプレートを示す。
図6は、H2Oパッシベーションのストリップレートの不均一性の向上を説明するための、局所ストリップレート対テストウエハを横切る位置のグラフを示す。
発明を実施するための最良の形態
以下の説明では、本発明の完全な理解を提供するために、多数の具体的な詳細を示す。ただし、本発明がこれらの具体的な詳細の幾らかまたはすべてを伴わずに実施され得ることは、当業者に明らかであろう。その他の場合、本発明を不必要に不明瞭にしないよう、周知のプロセス工程については詳細には説明していない。
本発明の1つの態様によれば、本発明の装置は、基板表面の反応性中性密度を増加させることにより、基板のパッシベーション効率を大幅に向上する。本発明のパッシベーション装置は、プラズマ処理チャンバ内で反応性中性種の再結合に利用可能な内部表面積を最小限にすることを伴うため、基板表面に到達する前に再結合され得る反応性中性種はより少ない。再結合する反応性中性種がより少ない場合、基板表面の反応性中性密度が増加し、パッシベーション効率の向上につながり得る。
本発明の別の態様によれば、本発明のバッフルプレートにより、基板全体にわたって反応性中性種がより均一に分配される。この態様によれば、本発明のバッフルプレートは、多孔領域に囲まれる中実の中央領域を含む。反応性中性種は、本発明のバッフルプレートの中実領域を回り込んで移動し、そして多孔領域を通過して、基板表面全体にわたって反応性中性種がより均一な密度で形成されるようにウエハに向かって移動し得る。
本発明のパッシベーション技術は、マイクロ波を使用するプラズマ処理チャンバを含むいかなる公知のプラズマ処理チャンバで行われてもよい。その他のアッシングシステムは、H2Oプラズマを発生することができるものである限り、等しく使用され得る。例示的に、その他のRFシステムが使用されてもよいことが企図される。
本発明の特徴および利点についてさらに詳述するために、再結合が起こる機構を検討することが有用である。プラズマ反応炉により発生されたH2Oプラズマは、帯電種、中性種、および電子からなり得る。H2Oプラズマの最も活性なパッシベーション元素は、O、OHおよびHなどの反応性中性種であり得ると考えられる。反応性中性種Oは、基板上で炭素原子と反応してCO2を形成し、そして、反応性中性種Hは、パッシベーション中に基板中のCl分子と反応してHClを形成すると考えられている。概して、パッシベーション効率は、反応性中性種密度の増加に伴って増加する。
しかし、上記のように、反応性中性種密度は、プラズマ処理チャンバの内面に接したときに起こる再結合により低減され得る。反応性中性種の再結合により、分子が形成されるが、これらの分子は、基板のパッシベーションにはより不活性である。反応性中性種再結合反応の例としては、例えば、H+O=OH、O+O=O2、H+H=H2、HO+H=H2O、などがあり得る。再結合された分子は、パッシベーションにおいて、より不活性である傾向があるため、反応性中性種の再結合を最小限に抑えることが概して望ましい。本発明のパッシベーション技術は、基板に向かって移動している途中にプラズマに接する内部表面積を最小にすることにより、反応性中性種の再結合を有利に最小限に抑え、それにより、パッシベーションレートを向上する。
図4は、本発明のパッシベーション技術を実現するのに適したプラズマパッシベーションチャンバ406の簡略化された概略図を示す。図4において、図1の構成要素と同じ参照番号を有する構成要素は概して同じ機能を果たす。ただし、プラズマパッシベーションチャンバ406は、多くの様々な点で、従来技術のプラズマ処理システム100とは異なっている。1つには、プラズマパッシベーションチャンバ406はここでは、H2Oプラズマパッシベーションのために最適化されている。別の実施例として、プラズマパッシベーションチャンバ406は、好ましくは、図1の二重プレート構成(プレート104および110)の代わりに、本発明のバッフルプレート420を1つだけ使用する。
図4では、H2O蒸気は、ポート102で励起されてプラズマになる。ポート102、具体的にはポート102の下側部分は、プラズマ発生領域であると考えられ得る。なぜなら、この領域は、マイクロ波励起によりプラズマ種の大多数が形成される領域を表すからである。H2Oプラズマは、基板112に向かって移動する途中に、バッフルプレート420に接する。本実施例では、バッフルプレート420は、幅8.4インチであり、ポート102から3.7インチの所に配置される。H2Oプラズマからの帯電種および電子の幾らかと、反応性中性種の幾らかとが、バッフルプレート420の表面に接したとき再結合し得る。しかし、かなりのパーセンテージの反応性中性種がバッフルプレート420の孔を通過し、基板112の表面において反応性中性種の濃度を実質的に均一にする。H2Oプラズマ種は、基板表面と反応して、例えば吸着された塩化化合物を除去するなどのパッシベートを行って、それにより、腐食損傷を低減する。
本明細書において詳細に説明されるように、本発明のバッフルプレート420は、実質的に多孔性の領域に囲まれる中央遮断領域を含む。多孔領域は、上記H2Oプラズマが通過することを可能にするが、中央遮断領域は、プラズマ発生領域(例えば、ポート102の下側部分)からの集中したホットプラズマ材料ジェットを偏向し、それにより、この集中したプラズマホットジェットが、基板の中心部だけを過度にパッシベートすることを防ぐ。
中央遮断領域はまた、UV光が基板112に直接当たるのを防ぐ。このようにして、バッフルプレート420の中央遮断領域は、基板112がパッシベーション中に受ける直接照射UV損傷を防ぐ助けとなる。UV光は、パッシベーション中にフォトレジストを硬化させることにより、基板112に損傷を与え得る。この硬化は、その後のフォトレジスト除去工程でのフォトレジストの除去をより困難にし得る。
本発明のバッフル420プレートは、図5を参照するとより良く理解され得る。図5には、バッフルプレート420が示される。このバッフルプレート420は、実質的にディスク形であってもよい。また、このバッフルプレート420は、石英またはパッシベーションプラズマにより容易に攻撃されない別の適切な材料からなっていてもよい。バッフルプレート420は、中実且つ円形であってもよい中央遮断領域432と、中央遮断領域432を囲む環状多孔領域434とを有する。中央遮断領域432は直径430を有し、この直径430は、プラズマパッシベーションチャンバのポートから(例えば、ポート102から)の距離、および/または、基板からの距離を考慮に入れて、ポート102からのプラズマを偏向するため、および/または、UV光を遮って基板112を直接露光しないようにするために適切な大きさに設定され得る。
さらに詳述するために、プラズマ励起中に生成されるUV光は、典型的には、ポート102(即ち、プラズマ発生領域)からプラズマパッシベーションチャンバ406内を照射する。UV光の幾らかは下方向および外側に向けて照射し得るため、中央遮断領域432によりプラズマパッシベーションチャンバ406内に作られる影の大きさは、中央遮断領域自体よりも大きい場合がある。中央遮断領域432により作られる影の大きさは、中央遮断領域とUV源との間の距離に反比例し得る。さらに、影の大きさは、典型的には、影と中央遮断領域との間の距離に正比例する。さらに、影の大きさは、典型的には、中央遮断領域自体のサイズに正比例して変わる。従って、中央遮断領域432の適切なサイズは、幾分かは、これらのファクタにより決定される。
1つの実施形態では、中央遮断領域432を囲む環状多孔領域434は、多数の孔から構成されていてもよい。典型的な孔436は、一定の直径を有していてもよく、そして、バッフルプレート420を貫通してドリルでまっすぐに開けられてもよい。複数の孔自体は、例えば放射状、直線状、またはその組み合わせ、などのいかなるパターンで配置されてもよい。1つの実施形態では、孔の寸法は、バッフルプレート420がプラズマパッシベーションチャンバ内に配置されたときに、上から孔に入るいかなるUV光も、好ましくは、基板表面に直接当たらずに孔の内壁に当たるような寸法である。この特徴のため、中央遮断領域を、表面全体の上に影を作るために必要なサイズよりも小さいサイズにすることが可能になる。これらの孔は、プラズマが通過することを可能にするが、実質的にすべてのUV光が基板112の表面に到達するのを阻止する。上記のように、このUV光の一部分は、既にバッフルプレート自体の中央遮断領域により遮られている。このため、基板112は、従来技術の別個の偏向プレートを使用しなくても、直接の照準線UV露光を受けずにバッフルプレート420のすぐ下に配置され得る。
例えば従来技術の図1と比較して、本発明のバッフルプレートは、1つのプレートしか使用しないため、再結合のために利用可能な内部表面積を最小にする。従って、従来技術の偏向プレートの表面積が完全に無くなる。さらに、本発明の1つのバッフルプレートでは中央遮断領域の孔が低減または削減されているので、再結合のために利用可能な表面積が縮小している。言い換えれば、本発明のプレートを使用することにより、単に従来技術の偏向プレートを無くすことだけで達成される表面積の縮小よりも大幅な縮小が、自明でない態様で得られる。再結合面積がより少ないため、より高い密度の反応性中性種が基板表面に到達でき、その結果、より速いパッシベーションレートと、より高いスループットとが得られる。
本発明のプロセスはまた、基板112の表面全体にわたって反応性中性種をより均等に分散させることにより、パッシベーションの均一性を向上し得る。これまで、全体に孔を有するプレート、例えば従来技術のバッフルプレート110を用いれば、基板表面全体にわたって反応性中性種がより均等に分布されるであろうと考えられてきた。従って、そのようなプレートは、過去において、しばしば偏向プレートとともに用いられることが多かった(この組み合わせは、再結合表面積を大きくするという不利な点がある)。
本発明により、場合によっては、反応性中性種のさらに均一な分配が得られることが分かった。図4から、例えばポート102などのプラズマ源から流れる反応性中性種が、バッフルプレート420の中央遮断領域432を回り込んで偏向され、環状多孔領域434を通過して基板112に移動することが理解できる。偏向する中央遮断部が多孔部と同一平面上にあるため、基板表面でのプラズマの分配の向上が達成されると考えられる。さらに、中央遮断領域432を回り込むプラズマの流れにより、反応性中性種がバッフルプレート420の中央の下に引き込まれ、基板の表面に、より均等なプラズマ密度が得られると考えられる。
上記のように、パッシベーション不均一性の改善により得られる1つの利点は、塩化化合物の除去が改善されることである。別の利点として、本発明のパッシベーション装置は、その後のアッシング工程でフォトレジストがより容易にストリップされるようにし得る。これは、パッシベーション中に既にフォトレジストの幾らかのストリップが起こるからである。本発明のパッシベーション技術の場合と同様に、パッシベーションがより均一であれば、基板がより均一にストリップされ得るため、パッシベーション後の基板全体にわたって、より均一なフォトレジスト厚が存在し得る。フォトレジスト層の厚さが均一であれば、その後のアッシング工程の間に、すべてのフォトレジストが、フォトレジストに吸着された塩化化合物とともに除去され得る可能性がより高い。
本発明のパッシベーション技術の使用に適した特定の処理パラメータおよび条件は、幾分かは、プラズマパッシベーションチャンバの特定のタイプおよび幾何学的形状に依存する。1つの例として、表1は、9600SETMまたは9600PTXTMプラズマパッシベーションチャンバ内で、フォトレジスト層を有する8インチのシリコンウエハ(直径200mm)をパッシベートする場合に、本発明のパッシベーション技術で用いられる本発明のバッフルプレートの可能な概略の寸法をまとめたものである。表1の寸法の場合、バッフルプレート自体は、約8インチ、好ましくは、約8.3インチの直径を有する。バッフルプレートは、約0.1インチから約0.2インチの間の厚さを有し、好ましくは、約0.13インチの厚さを有する。ただし、これらの値は例示にすぎず、異なるチャンバ、反応炉タイプ、および/または基板タイプによって変動し得る。本出願人らは、以下の範囲の変動のすべてを試験したわけではない。しかし、これらの範囲は、上記チャンバ内で上記ウエハに対して本発明を実施するのに適切であり得る。
実施例
比較目的のために、以下の実験を行い、本発明のパッシベーション技術によるフォトレジストストリップレートおよび均一性の向上を評価した。以下に示す実施例は、本発明のパッシベーション技術の1つの適用例を例示しているだけであって、本発明をその他の基板、層スタック構造および/またはパッシベーションシステムに適用した場合の本発明の有用性を限定するものとして解釈されるべきではないことに注目されたい。
この実験には、8インチのシリコンウエハを使用した。試験目的のために、基板上にパターンをエッチングせず、全面に堆積させた厚さ17,000オングストロームのG−LineTMフォトレジスト層を使用した。これらの実験のウエハを、上記の9600PTXTMプラズマパッシベーションチャンバ内で処理した。H2O蒸気を、パッシベーションプロセスガスとして、約750sccmの流量で使用した。15秒のパッシベーション持続時間全体にわたって、チャンバ圧を約2.0Torrに維持した。ウエハ温度を約275℃に維持し、マイクロ波出力を約1,000ワットに維持した。
本発明の技術の1つの実施形態に従ってパッシベートされたウエハを、図4および図5に示される1つのバッフルプレートを備えて構成される上記プラズマパッシベーションチャンバ内で処理した。従来技術の技術に従ってパッシベートされたウエハも上記プラズマパッシベーションチャンバ内で試験したが、ここでは、従来技術の二重プレート構成、即ち、図2、図3Aおよび図3Bに示される偏向プレートおよびバッフルプレート、を有するプラズマパッシベーションチャンバを用いた。このパッシベーション実験のおおよそのプロセス結果を、以下の表2にまとめている。
表2に示されるように、本発明のパッシベーション技術は、従来技術のストリップレート0.65μ/minと比較して、商業的に有利なストリップレート0.72μ/minを達成した。材料がより速いレートで除去されるため、本発明の技術を用いることにより、スループットが向上し得る。本発明の技術は、1−シグマ法による不均一性として3%未満を達成しており、これに対して、従来技術の技術を実行した場合は約18%の不均一性である。ここで、1−シグマ法は、49カ所の所定の測定点の基板厚を基板の処理前後で比較することにより判定される相対不均一性のパーセンテージを提供するものである。
ストリッピングの均一性の向上について、図6を参照して説明する。図6には、1つの実験で得られた局所ストリップレート対テストウエハを横切る位置のグラフが示される。従来技術では、ウエア中心での局所ストリップレート850は、約0.92μ/minであるが、外径部での局所ストリップレート800は、約0.54μ/minである。ウエハ径にわたってストリップレートに大きな変動があるため、従来技術の技術の均一性は比較的乏しく、例えば、前の実施例では18%の不均一性であった。
それに対して、本発明の技術では、ウエハ中心で約0.69μ/minの局所ストリップレート810が得られ、外径部でのストリップレート806は、約0.75μ/minとして示されている。ウエハ径にわたるストリップレート差がより少ないため、均一性が向上し、例えば、前の実施例では3%であった。
中央遮断領域を有する本発明のバッフルプレートを本発明のパッシベーション技術で用いる別の利点は、パッシベーション中に基板が均一に加熱されることである。本発明のバッフルプレートの代わりに、表面全体にわたって孔を有するバッフルプレートを用いると、H2Oプラズマのホットジェットが、プラズマ源の下にある孔(典型的には、バッフルプレートの中心部にある孔)を通ってまっすぐ下に流されるであろう。H2Oプラズマのホットジェットが基板の周囲領域よりも中心部により多く当たるようにされると、基板の中心部が、周辺領域よりも多く加熱され得る。その結果、基板表面にわたる基板温度が不均一になり、基板の不均一なストリッピングおよびパッシベーションにつながる。
H2Oプラズマのホットジェットが直接基板中心部に流れるのを阻止するために、本発明のパッシベーション構成は、H2Oプラズマが基板全体にわたってより均一に分配されることを可能にする。その結果、基板のストリッピングおよびパッシベーションが、より均一にされる。
以上、本発明を幾つかの好適な実施形態について説明してきたが、本発明の範囲内には変形、置換、および等価物がある。また、本発明の技術を実現する多数の代替の方法があることにも注目されたい。従って、以下の添付の請求の範囲は、本発明の真の精神および範囲内にあるそのような変形、置換、および等価物をすべて含むものとして解釈されるよう意図される。
Claims (18)
- プラズマ処理チャンバにおいて、エッチング後に基板の表面に付着した塩化物を除去するパッシベーション処理を行う方法であって、前記基板は、該基板上に配置されたフォトレジスト層を含み、前記方法は、
前記プラズマ処理チャンバのプラズマ発生領域と前記基板との間に単一のプレートバッフルを設置する工程を備え、前記単一のプレートバッフルは、
該単一のプレートバッフルの中央領域に配置される中央遮断部と、
該中央遮断部を囲む環状多孔部と、を含み、前記環状多孔部は、複数の貫通孔を含み、前記複数の貫通孔は、反応性中性種を含むH2Oプラズマが前記貫通孔を通過して前記基板の表面に到達することを可能にするように構成されているとともに、前記貫通孔は、前記プラズマ発生領域から前記貫通孔を通過する紫外線が前記基板の表面に直接当たらずに前記貫通孔の内壁に当たるような寸法を有しており、また、
H2O蒸気を前記プラズマ処理チャンバ内に流し込む工程と、
前記H2O蒸気から前記H2Oプラズマを発生させる工程と、
前記H2Oプラズマを用いて前記基板をパッシベートする工程と、を備え、
前記中央遮断部が、前記プラズマ発生領域からの距離と、前記基板からの距離とに基づいて設定された大きさを有しており、前記中央遮断部の大きさは、前記H2Oプラズマから生じる紫外線を遮って前記パッシベーション中に前記基板の前記表面上に直接当たることを防止する大きさに設定されており、
前記単一のプレートバッフルが、別個の偏向プレートの使用を必要とせずに前記パッシベーションが起こることを可能にするように構成されている、方法。 - 前記発生工程が、マイクロ波源を用いて行われる、請求項1に記載の方法。
- 前記中央遮断部が、前記プラズマ発生領域からの前記H2Oプラズマの一部分を偏向して、前記基板に直接当たらないようにするように構成されている、請求項1又は2に記載の方法。
- 前記孔の少なくとも幾つかが、0.006インチから0.3インチの間の内径を有する、請求項1ないし3のいずれか一項に記載の方法。
- 前記中央遮断部の直径が、1インチと4インチの間である、請求項4に記載の方法。
- 前記単一のプレートバッフルの直径が、8インチである、請求項5に記載の方法。
- 前記基板が、集積回路の製造に使用される、請求項1ないし6のいずれか一項に記載の方法。
- 前記エッチングは、前記フォトレジスト層が前記基板上に配置されている間に塩素含有エッチング液を用いて行われるエッチングを表す、請求項1ないし7のいずれか一項に記載の方法。
- 請求項1ないし8のいずれか一項に記載の方法であって、
前記中央遮断部の大きさは、さらに前記貫通孔の寸法にも基づいて設定されており、前記中央遮断部と前記環状多孔部との関係により紫外線が前記基板の表面に直接当たることを防止する大きさに設定されている、方法。 - 請求項1ないし9のいずれか一項に記載の方法であって、
前記基板をパッシベートする工程は、前記基板の表面に付着した塩化物を除去する、方法。 - 基板の表面に付着した塩化物を除去するパッシベーション処理を行う際に使用するために構成されたプラズマ処理システムであって、前記基板は、該基板上に配置されるフォトレジスト層を含み、前記プラズマ処理システムは、
プラズマ発生領域と、
前記プラズマ発生領域と前記基板との間に配置される単一のプレートバッフルと、を備え、前記単一のプレートバッフルは、
前記単一のプレートバッフルの中央領域に配置され、前記プラズマ発生領域内で生成されるH2Oプラズマから生じた紫外線を遮って前記基板の前記表面上に直接当たらないようにする中央遮断部と、
前記中央遮断部を囲む環状多孔部と、を含み、前記環状多孔部は、複数の貫通孔を含み、前記複数の貫通孔は、前記H2Oプラズマが前記孔を通過して前記基板の表面に到達することを可能にするように構成されているとともに、前記貫通孔は、前記プラズマ発生領域から前記貫通孔を通過する紫外線が前記基板の表面に直接当たらずに前記貫通孔の内壁に当たるような寸法を有しており、
前記プラズマ処理システムは、また、
前記単一のプレートバッフルの下に配置されて、前記パッシベーション中に前記基板を支持するためのチャックを備え、
前記中央遮断部は、前記プラズマ発生領域からの距離と、前記基板からの距離と、前記貫通孔の寸法とに基づいて設定された大きさを有しており、前記中央遮断部の大きさは、前記中央遮断部と前記環状多孔部との関係により紫外線が前記基板の表面に直接当たることを防止する大きさに設定されており、
前記単一のプレートバッフルが、別個の偏向プレートの使用を必要とせずに前記パッシベーションが起こることを可能にするように構成されている、プラズマ処理システム。 - 前記パッシベーション中に前記H2Oプラズマを維持するためのマイクロ波源をさらに備える、請求項11に記載のプラズマ処理システム。
- 請求項11又は12に記載のプラズマ処理システムであって、
前記中央遮断部が、前記プラズマ発生領域からの前記H2Oプラズマの一部分を偏向して、前記基板に直接当たらないようにするように構成されている、プラズマ処理システム。 - 請求項11ないし13のいずれか一項に記載のプラズマ処理システムであって、
前記単一のプレートバッフルが、前記処理中に前記プラズマ発生領域から3インチ離れた所に配置されるように構成されている、プラズマ処理システム。 - 請求項11ないし14のいずれか一項に記載のプラズマ処理システムであって、
前記複数の貫通孔の少なくとも幾つかが、0.006インチから0.3インチの間の内径を有する、プラズマ処理システム。 - 請求項11ないし15のいずれか一項に記載のプラズマ処理システムであって、
前記中央遮断部の直径が、1インチから4インチの間である、プラズマ処理システム。 - 請求項11ないし16のいずれか一項に記載のプラズマ処理システムであって、
前記単一のプレートバッフルの直径が、8インチである、プラズマ処理システム。 - 請求項11ないし17のいずれか一項に記載のプラズマ処理システムであって、
前記プラズマ処理システムが、集積回路の製造に使用される基板をパッシベーション処理するように構成されている、プラズマ処理システム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/882,222 US5968275A (en) | 1997-06-25 | 1997-06-25 | Methods and apparatus for passivating a substrate in a plasma reactor |
US08/882,222 | 1997-06-25 | ||
PCT/US1998/013081 WO1998059367A1 (en) | 1997-06-25 | 1998-06-24 | Plasma reactor for passivating a substrate |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2002506570A JP2002506570A (ja) | 2002-02-26 |
JP4548552B2 true JP4548552B2 (ja) | 2010-09-22 |
Family
ID=25380152
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50500799A Expired - Lifetime JP4548552B2 (ja) | 1997-06-25 | 1998-06-24 | 基板をパッシベートするための方法及びプラズマ処理システム |
Country Status (8)
Country | Link |
---|---|
US (1) | US5968275A (ja) |
EP (1) | EP1016134B1 (ja) |
JP (1) | JP4548552B2 (ja) |
KR (1) | KR100512701B1 (ja) |
AT (1) | ATE317592T1 (ja) |
DE (1) | DE69833436T2 (ja) |
TW (1) | TW436914B (ja) |
WO (1) | WO1998059367A1 (ja) |
Families Citing this family (385)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO1999029923A1 (en) * | 1997-12-05 | 1999-06-17 | Tegal Corporation | Plasma reactor with a deposition shield |
WO1999049705A1 (fr) * | 1998-03-20 | 1999-09-30 | Tokyo Electron Limited | Dispositif de traitement plasmique |
JP2990668B2 (ja) * | 1998-05-08 | 1999-12-13 | 日新電機株式会社 | 薄膜形成装置 |
KR100829288B1 (ko) * | 1998-12-11 | 2008-05-13 | 서페이스 테크놀로지 시스템스 피엘씨 | 플라즈마 처리장치 |
TW523557B (en) * | 2000-02-21 | 2003-03-11 | Nanya Technology Corp | Exhausting method in a dry etching apparatus |
US6635117B1 (en) * | 2000-04-26 | 2003-10-21 | Axcelis Technologies, Inc. | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system |
US6537419B1 (en) * | 2000-04-26 | 2003-03-25 | David W. Kinnard | Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate |
US6490032B1 (en) * | 2000-05-31 | 2002-12-03 | Newport Fab, Llc | Method and apparatus for improving a dark field inspection environment |
US6440219B1 (en) * | 2000-06-07 | 2002-08-27 | Simplus Systems Corporation | Replaceable shielding apparatus |
US6440864B1 (en) | 2000-06-30 | 2002-08-27 | Applied Materials Inc. | Substrate cleaning process |
US6433484B1 (en) * | 2000-08-11 | 2002-08-13 | Lam Research Corporation | Wafer area pressure control |
US6692903B2 (en) | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
US6761796B2 (en) | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
US6991739B2 (en) * | 2001-10-15 | 2006-01-31 | Applied Materials, Inc. | Method of photoresist removal in the presence of a dielectric layer having a low k-value |
US20030234079A1 (en) * | 2002-06-19 | 2003-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma ashing/etching using solid sapphire disk |
DE10340147B4 (de) | 2002-08-27 | 2014-04-10 | Kyocera Corp. | Trockenätzverfahren und Trockenätzvorrichtung |
US7459098B2 (en) * | 2002-08-28 | 2008-12-02 | Kyocera Corporation | Dry etching apparatus, dry etching method, and plate and tray used therein |
US7556741B2 (en) * | 2002-08-28 | 2009-07-07 | Kyocera Corporation | Method for producing a solar cell |
TW594337B (en) * | 2003-02-14 | 2004-06-21 | Quanta Display Inc | Method of forming a liquid crystal display panel |
US20040235299A1 (en) * | 2003-05-22 | 2004-11-25 | Axcelis Technologies, Inc. | Plasma ashing apparatus and endpoint detection process |
US8580076B2 (en) | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
US7581511B2 (en) * | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
JP4474363B2 (ja) * | 2003-12-26 | 2010-06-02 | 株式会社フューチャービジョン | マイクロ波プラズマ処理装置及びそのプラズマヘッド |
US7892357B2 (en) * | 2004-01-12 | 2011-02-22 | Axcelis Technologies, Inc. | Gas distribution plate assembly for plasma reactors |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
WO2006026765A2 (en) * | 2004-09-01 | 2006-03-09 | Axcelis Technologies, Inc. | Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means |
JP4588391B2 (ja) * | 2004-09-01 | 2010-12-01 | 芝浦メカトロニクス株式会社 | アッシング方法及びアッシング装置 |
JP2007149788A (ja) * | 2005-11-24 | 2007-06-14 | Aqua Science Kk | リモートプラズマ装置 |
JP2008205219A (ja) * | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
JP2009016453A (ja) * | 2007-07-02 | 2009-01-22 | Tokyo Electron Ltd | プラズマ処理装置 |
US8252114B2 (en) * | 2008-03-28 | 2012-08-28 | Tokyo Electron Limited | Gas distribution system and method for distributing process gas in a processing system |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20110226280A1 (en) * | 2008-11-21 | 2011-09-22 | Axcelis Technologies, Inc. | Plasma mediated ashing processes |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101110080B1 (ko) * | 2009-07-08 | 2012-03-13 | 주식회사 유진테크 | 확산판을 선택적으로 삽입설치하는 기판처리방법 |
JP5336968B2 (ja) * | 2009-07-30 | 2013-11-06 | 東京エレクトロン株式会社 | プラズマ処理装置用電極及びプラズマ処理装置 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5835722B2 (ja) | 2009-12-10 | 2015-12-24 | オルボテック エルティ ソラー,エルエルシー | 自動順位付け多方向直列型処理装置 |
JP2013532387A (ja) * | 2010-06-25 | 2013-08-15 | アプライド マテリアルズ インコーポレイテッド | イオン電流を低減したプレクリーンチャンバ |
US8845806B2 (en) * | 2010-10-22 | 2014-09-30 | Asm Japan K.K. | Shower plate having different aperture dimensions and/or distributions |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20130149866A1 (en) * | 2011-12-12 | 2013-06-13 | Texas Instruments Incorporated | Baffle plate for semiconductor processing apparatus |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US9048190B2 (en) * | 2012-10-09 | 2015-06-02 | Applied Materials, Inc. | Methods and apparatus for processing substrates using an ion shield |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
JP2017073455A (ja) * | 2015-10-07 | 2017-04-13 | 東京エレクトロン株式会社 | 接合システム |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
KR102657269B1 (ko) | 2018-02-14 | 2024-04-16 | 에이에스엠 아이피 홀딩 비.브이. | 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
KR102516885B1 (ko) * | 2018-05-10 | 2023-03-30 | 삼성전자주식회사 | 증착 장비 및 이를 이용한 반도체 장치 제조 방법 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
TWI751420B (zh) | 2018-06-29 | 2022-01-01 | 荷蘭商Asm知識產權私人控股有限公司 | 薄膜沉積方法 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
GB201904587D0 (en) | 2019-04-02 | 2019-05-15 | Oxford Instruments Nanotechnology Tools Ltd | Surface processing apparatus |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11814716B2 (en) * | 2019-11-27 | 2023-11-14 | Applied Materials, Inc. | Faceplate having blocked center hole |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11448977B1 (en) * | 2021-09-24 | 2022-09-20 | Applied Materials, Inc. | Gas distribution plate with UV blocker at the center |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4534816A (en) * | 1984-06-22 | 1985-08-13 | International Business Machines Corporation | Single wafer plasma etch reactor |
JPS6447029U (ja) * | 1987-09-17 | 1989-03-23 | ||
US4836902A (en) * | 1987-10-09 | 1989-06-06 | Northern Telecom Limited | Method and apparatus for removing coating from substrate |
JPH0775226B2 (ja) * | 1990-04-10 | 1995-08-09 | インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン | プラズマ処理方法及び装置 |
US5212116A (en) * | 1990-06-18 | 1993-05-18 | At&T Bell Laboratories | Method for forming planarized films by preferential etching of the center of a wafer |
JPH0582041U (ja) * | 1992-04-08 | 1993-11-05 | 沖電気工業株式会社 | コールドウォール型減圧cvd装置 |
JPH0684837A (ja) * | 1992-09-04 | 1994-03-25 | Mitsubishi Electric Corp | プラズマ処理装置 |
US5545289A (en) * | 1994-02-03 | 1996-08-13 | Applied Materials, Inc. | Passivating, stripping and corrosion inhibition of semiconductor substrates |
WO1995021458A1 (en) * | 1994-02-03 | 1995-08-10 | Applied Materials, Inc. | Stripping, passivation and corrosion inhibition of semiconductor substrates |
JPH0831803A (ja) * | 1994-07-18 | 1996-02-02 | Plasma Syst:Kk | プラズマ処理装置 |
US5741363A (en) * | 1996-03-22 | 1998-04-21 | Advanced Technology Materials, Inc. | Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition |
-
1997
- 1997-06-25 US US08/882,222 patent/US5968275A/en not_active Expired - Lifetime
-
1998
- 1998-06-24 EP EP98931533A patent/EP1016134B1/en not_active Expired - Lifetime
- 1998-06-24 JP JP50500799A patent/JP4548552B2/ja not_active Expired - Lifetime
- 1998-06-24 KR KR10-1999-7012082A patent/KR100512701B1/ko not_active IP Right Cessation
- 1998-06-24 DE DE69833436T patent/DE69833436T2/de not_active Expired - Fee Related
- 1998-06-24 WO PCT/US1998/013081 patent/WO1998059367A1/en active IP Right Grant
- 1998-06-24 AT AT98931533T patent/ATE317592T1/de not_active IP Right Cessation
- 1998-06-25 TW TW087110262A patent/TW436914B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
DE69833436T2 (de) | 2006-10-26 |
WO1998059367A1 (en) | 1998-12-30 |
EP1016134A1 (en) | 2000-07-05 |
KR100512701B1 (ko) | 2005-09-07 |
DE69833436D1 (de) | 2006-04-20 |
JP2002506570A (ja) | 2002-02-26 |
EP1016134B1 (en) | 2006-02-08 |
KR20010014051A (ko) | 2001-02-26 |
TW436914B (en) | 2001-05-28 |
US5968275A (en) | 1999-10-19 |
ATE317592T1 (de) | 2006-02-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4548552B2 (ja) | 基板をパッシベートするための方法及びプラズマ処理システム | |
KR101170861B1 (ko) | 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 | |
US7179752B2 (en) | Dry etching method | |
US5980768A (en) | Methods and apparatus for removing photoresist mask defects in a plasma reactor | |
US20100130017A1 (en) | Front end of line plasma mediated ashing processes and apparatus | |
US10626497B2 (en) | Method for cleaning components of plasma processing apparatus | |
EP3051576B1 (en) | Method of processing a target object comprising forming a silicon oxide film | |
JP4911936B2 (ja) | プラズマアッシング方法 | |
TWI707382B (zh) | 被處理體之處理方法 | |
US7723238B2 (en) | Method for preventing striation at a sidewall of an opening of a resist during an etching process | |
US20040185674A1 (en) | Nitrogen-free hard mask over low K dielectric | |
KR20070096956A (ko) | 저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및기억 매체 | |
JP4722550B2 (ja) | 半導体装置の製造方法 | |
JP2009135498A (ja) | エッチング処理において限界寸法の均一性を調節するための方法 | |
KR20190026844A (ko) | 피처리체를 처리하는 방법 | |
JP4548618B2 (ja) | プラズマ処理システム内でエッチングしながらフォトレジスト歪みを低減する方法及びエッチングチャンバ | |
KR102549308B1 (ko) | 에칭 장치 | |
US6391786B1 (en) | Etching process for organic anti-reflective coating | |
US20060199393A1 (en) | H20 plasma and h20 vapor methods for releasing charges | |
US7604908B2 (en) | Fine pattern forming method | |
JP4865951B2 (ja) | プラズマエッチング方法 | |
JP7418632B2 (ja) | プラズマ処理装置 | |
JPH07106300A (ja) | 静電チャック装置 | |
JPH0758087A (ja) | プラズマ処理装置 | |
JPH08115903A (ja) | 半導体装置の製造方法およびプラズマエッチング装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050622 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080701 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080930 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20081110 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081225 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090324 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090623 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090803 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090918 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20091208 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100305 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100420 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100511 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100622 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20100629 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130716 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |