JP2009135498A - エッチング処理において限界寸法の均一性を調節するための方法 - Google Patents

エッチング処理において限界寸法の均一性を調節するための方法 Download PDF

Info

Publication number
JP2009135498A
JP2009135498A JP2008301079A JP2008301079A JP2009135498A JP 2009135498 A JP2009135498 A JP 2009135498A JP 2008301079 A JP2008301079 A JP 2008301079A JP 2008301079 A JP2008301079 A JP 2008301079A JP 2009135498 A JP2009135498 A JP 2009135498A
Authority
JP
Japan
Prior art keywords
gas
substrate
etching
unsaturated hydrocarbon
metal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008301079A
Other languages
English (en)
Inventor
Guowen Ding
ディング グオウエン
Changhun Lee
リー チャングン
Teh-Tien Su
ティエン ス テ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009135498A publication Critical patent/JP2009135498A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】基板上に配置された金属材料層をエッチングすることにより、基板全体に亘って、望ましいプロファイルと均一な限界寸法(CD)でもってフィーチャーを形成するための方法を提供する。
【解決手段】一実施形態において、基板上に配置された材料層をエッチングするための方法は、その上に金属層を有する基板をエッチリアクタ内に設置し、少なくとも塩素含有ガスと不動態化ガスを含有するガス混合物をリアクタに流すことを含み、不動態化ガスは窒素ガスと不飽和炭化水素ガスを含み、窒素ガスと不飽和炭化水素ガスは、ガス流量比約1:3〜約20:1を有しており、本方法は、ガス混合物から形成したプラズマを用いて金属層をエッチングすることを更に含む。
【選択図】図2

Description

背景
(分野)
本発明の実施形態は、概して、半導体処理技術、より具体的には、配線構造中の材料層に、望ましいプロファイルと限界寸法(critical dimension:CD)でもってフィーチャーをエッチングするための方法に関する。
(関連技術の説明)
半導体デバイスの次世代型超大規模集積化(VLSI)と超々大規模集積化(ULSI)にとって鍵となる技術の1つが、サブハーフミクロン以下のフィーチャーを信頼度高く製造することである。しかしながら、集積回路技術の限界に押され、VLSI及びULSI技術における配線構造の小型化は、加工性能に更なる課題を課すこととなった。ゲートパターンを信頼性高く形成することが、VLSI及びULSIの成功並びに個々の基板とダイの回路密度や品質の向上にあたっての継続的な取り組みに重要である。
フィーチャーのサイズが縮小化され、フィーチャーのアスペクト比が高くなるにつれ、材料を、より良好なプロファイル制御と管理された均一性を有するフィーチャーにエッチングすることがエッチング処理に求められる。エッチング中、典型的には、少なくともハロゲン含有ガスを含むガス混合物を強力な反応性エッチャントとして用い、基板上に配置された材料をエッチングする。このハロゲン含有ガスは、エッチングチャンバ内に配置されたガス分配板を介して、処理チャンバ内へと基板の上面に供給される。しかしながら、エッチング中、ガス混合物が基板表面全体に均一に分配されず、基板の中央と縁部とではエッチングのプロファイルが不均一となる場合がある。図1は、慣用のエッチング技法によってエッチングされた、基板中央の点Aから基板縁部の点Bまでの、基板上に形成されたフィーチャーの限界寸法(CD)のマップを示す。プラズマから発生した反応性エッチャントが基板表面全体に均一に分配されないことから、基板の中央部Aと縁部Bにおけるエッチング挙動が異なってしまい、この結果、CDにばらつきが生じ、エッチングされたフィーチャーの寸法は、矢印102によって示されるように、そのターゲット寸法からずれることがある。フィーチャーのCDプロファイルが不均一だと不要な欠陥が生じ、更に、続く処理工程に悪影響を及ぼし、最終的には最後の半導体回路構造の性能が低下する又は作動しなくなる可能性がある。
従って、良好なCD均一性制御でもって基板上にフィーチャーをエッチングするための方法が求められている。
概要
本発明の実施形態は、基板上に配置された金属層をエッチングして、基板全体に亘って、望ましいプロファイルと均一な限界寸法(CD)でもってフィーチャーを形成するための方法に関する。一実施形態において、基板上に配置された金属層をエッチングするための方法は、その上に金属層を有する基板をエッチリアクタ内に設置し、少なくとも塩素含有ガスと不動態化ガスを含有するガス混合物をリアクタに流し、このガス混合物から形成したプラズマを用いて金属層をエッチングすることを含む。不動態化ガスは、窒素ガスと不飽和炭化水素ガスを含み、窒素ガスと不飽和炭化水素ガスのガス流量比は、約1:3〜約20:1である。
別の実施形態において、基板上に配置された金属層をエッチングして配線構造を形成するための方法は、配線構造に適したアルミニウム層をその上に有する基板をエッチリアクタ内に設置し、BCl、Cl及び不動態化ガスを含有するガス混合物をリアクタに流し、このガス混合物から形成したプラズマを用いて、パターンマスク層を介してアルミニウム層をエッチングすることを含む。不動態化ガスは窒素ガス及び不飽和炭化水素ガスを含み、窒素ガスと不飽和炭化水素ガスのガス流量比は約1:3〜約20:1である。
更に別の実施形態において、基板上に配置された金属層をエッチングして配線構造を形成するための方法は、基板上に配置された(配線構造に適した)アルミニウム層の上にパターンマスク層を有する基板をエッチリアクタ内に設置し、BCl、Clガス及び不動態化ガスを含有するガス混合物をエッチリアクタに流し、このガス混合物から形成したプラズマを用いて、パターンマスク層を介してアルミニウム層をエッチングすることを含む。不動態化ガスはNガスとCガスを含み、NガスとCガスとのガス流量比は、約1:3〜約20:1であり、Cガスは、希釈ガスと共に、Cガスの希釈ガスに対する分子比約25:75〜約35:65にて、エッチリアクタに供給される。
本発明の上述した構成が得られ、詳細に理解されるように、上記で簡単に要約された本発明のより具体的な説明が実施形態を参照して行われ、それらは添付図面に図示されている。
慣用の技法によってエッチングされた、基板全体に形成されたフィーチャーの限界寸法(CD)マップである。 本発明の一実施形態により使用されたプラズマリアクタの概略断面図である。 本発明の一実施形態によるエッチング処理の一実施形態のフロー図である。 基板上に配置された配線構造の一実施形態の断面図である。 本発明の一実施形態によるエッチング処理によってエッチングされた、基板全体に形成されたフィーチャーの限界寸法(CD)マップである。
円滑な理解のために、可能な限り、図に共通する同一の要素は同一の参照番号を用いて表した。一実施形態における要素と構成は、特に記載することなく他の実施形態にて便宜上利用することができる。
しかしながら、添付図面は本発明の例示的な実施形態を図示するに過ぎず、本発明は同等に効果的な他の実施形態も認め得るため、本発明の範囲を制限すると解釈されないことに留意すべきである。
詳細な説明
本発明の実施形態は、基板上に配置された金属層を、少なくとも塩素含有ガスと不動態化ガスを含むガス混合物を用いてプラズマエッチングするための方法を含み、不動態化ガスは、少なくとも窒素ガスと不飽和炭化水素ガスを含む。ガス混合物は、基板全体に形成されたフィーチャーの均一な限界寸法(CD)を保ちつつ、フィーチャーのプロファイルと寸法を保つ形で金属層をエッチングする。
図2は、本発明による金属層のエッチングを実行するのに適したプラズマソース・エッチリアクタ202の一実施形態の概略断面図である。本発明の実施に適した例示的なエッチリアクタは、デカップルド・プラズマ・ソース(Decoupled Plasma Source:DPS)、DPS−II、DPS−IIアドヴァントエッジHT(AdvantEdge HT)、DPSプラス、又はDPS DT、HART、HART TSエッチリアクタであり、全てカリフォルニア州サンタクララのアプライドマテリアル社から入手可能である。本願に記載の金属層のエッチング処理は、他の製造業者から入手可能なものを含め、他のエッチリアクタで実行することも考えられる。
一実施形態において、リアクタ202は、処理チャンバ210を含む。処理チャンバ210は高真空容器であり、絞り弁227を介して真空ポンプ236に連結されている。処理チャンバ210は、導電性チャンバ壁部230を含む。チャンバ壁部230の温度は、壁部230の内部及び/又は周囲に位置された液体含有導管(図示せず)を用いて制御される。チャンバ壁部230は、アース234に接続されている。ライナ231がチャンバ210内に配置され、壁部230の内側表面を被覆している。ライナ231によりチャンバ210のその場での自己洗浄能が向上するため、ライナ231上に堆積された副生成物及び残留物を簡単に除去することができる。
処理チャンバ210は、支持台座部216及びシャワーヘッド232も含む。支持台座部216は、シャワーヘッド232の下に離間関係で配置される。支持台座部216は、処理の間、基板200を保持するための静電チャック22も含んでいてよい。静電チャック226への電力は、DC電源220によって制御される。
支持台座部216は、整合回路224を介して、高周波(RF)バイアス電源222に連結されている。バイアス電源222は、一般に、約0〜3000ワットのバイアス電力を発生可能である。任意で、バイアス電源222は、DC又はパルスDC電源であってよい。
支持台座部216上に支持されている基板200の温度は、支持台座部216の温度を調節することにより、少なくとも部分的に制御される。一実施形態において、支持台座部216の内部には、冷却液を流すための流路が形成されている。加えて、ガス供給源248から供給される、ヘリウム(He)ガス等の背面ガスを基板200の裏面と静電チャック226の表面に形成された溝部(図示せず)との間に配置された流路に供給する。背面Heガスにより、台座部216と基板200との間で効率的な熱伝達が行われる。静電チャック226は、チャック本体内に、チャック226を処理中に熱するための抵抗ヒータ(図示せず)も含んでいてよい。
シャワーヘッド232は、処理チャンバ210の蓋部213に取り付けられる。ガスパネル238は、シャワーヘッド232と蓋部213との間に画成されたプレナム(図示せず)に流体的に連結されている。シャワーヘッド232は、ガスパネル238からプレナムへと供給されたガスの処理チャンバ210への進入を可能にする複数の孔を含む。
シャワーヘッド232及び/又はシャワーヘッドに近接して位置決めされた上部電極228は、インピーダンス変成器219(例えば、1/4波長整合スタブ)を介してRFソース電力218に連結されている。RFソース電力218は、一般に、約0〜5000ワットのソース電力を発生可能である。
基板の処理中、チャンバ210内部のガス圧は、ガスパネル238及び絞り弁227を用いて制御される。一実施形態において、チャンバ210内部のガス圧は、約0.1〜999mTorrに維持される。基板200は、温度約10℃〜約500℃に維持される。
中央演算処理装置(CPU)244、メモリ242及びサポート回路246を含む制御装置240がリアクタ202の各種構成要素に連結され、本発明の処理の制御を円滑に行う。メモリ242はいずれのコンピュータ可読性媒体であってもよく、例えばランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピー(商標名)ディスク、ハードディスク、又はリアクタ202若しくはCPU244に対してローカル若しくはリモートである他の形態のデジタルストレージである。サポート回路246はCPU244に連結され、慣用のやり方でCPU244をサポートする。これらの回路はキャッシュ、電源、クロック回路、入力/出力回路、サブシステム等を含む。メモリ242内に保存されたソフトウェアルーチン又は一連のプログラム命令は、CPU244によって実行されると、リアクタ202に本発明のエッチング処理を実行させる。
図2は、本発明の実施に使用可能な様々なタイプのプラズマリアクタの一構成例を図示しているにすぎない。例えば、異なるタイプのソース電力及びバイアス電力を、異なる結合機構を用いてプラズマチャンバに結合することができる。ソース電力とバイアス電力の双方を用いることで、プラズマ密度とプラズマに対しての基板のバイアス電圧とを独立して制御することができる。応用例によっては、プラズマを、その中に基板が配置されているチャンバとは別のチャンバ、例えば遠隔プラズマ源で発生させ、続いて業界で既知の技法を用いてプラズマをチャンバに誘導してもよい。
図3は、本発明の一実施形態による、金属層をエッチングするエッチング処理300の一実施形態のフロー図を示す。図4A−4Bは、金属層エッチング処理300の一連の工程を示す概略断面図である。処理300を命令としてメモリ242に保存することができ、制御装置240によって実行されると、処理300は、リアクタ202又は他の適したエッチリアクタ等のプラズマ処理チャンバ内で実施される。
処理300は、基板402上に配置された金属層404を含む膜積層体400を有する基板402をリアクタ202内に設置するブロック302から開始される。膜積層体400を利用して、基板402上に配線構造を形成することができる。実施形態によっては、任意のバリア層406を金属層404上に配置する。パターンマスク層408、例えばハードマスク、フォトレジストマスク、又はこれらの組み合わせを、膜積層体400の部位412を露出させてそこにフィーチャーをエッチングするための開口部410を画成するためのエッチマスクとして使用してもよい。
図4Aに図示の実施形態において、金属層404は、アルミニウム含有層である。アルミニウム含有層の適切な例には、アルミニウム金属、アルミニウム合金等が含まれる。例示的な実施形態において、金属層404は、アルミニウム金属(Al)層である。アルミニウム金属層404は、約3000Å〜約7000Å等の約1000Å〜約20000Å、例えば約4000Åのアルミニウム厚さを有する。金属層404上に配置される任意のバリア層406層を用いて、その下の金属層404が隣り合う層に拡散するのを防止する。バリア層406の適切な例は、タンタル(Ta)、窒化タンタル(TaN)、窒化タンタルケイ素(TaSiN)、窒化チタン(TiN)、チタン(Ti)又はこれらの組み合わせであってよい。パターンマスク層408は、ケイ素膜の中でも、酸化ケイ素、窒化ケイ素、酸窒化ケイ素(SiON)、非晶質シリコン(α−Si)又は炭化ケイ素、非晶質炭素(α−カーボン)から成る群から選択された単層の形態であってよい。或いは、パターンマスク層408は、一方の層が上記の材料から選択され、もう一方の層が有機フォトレジスト層から構成される、少なくとも2つの層を含む複合マスクの形態であってよい。任意のバリア層406が存在しない実施形態の場合、パターンマスク層408を、金属層404上に直接的に配置してよい。例示的な実施形態において、パターンマスク層408は、酸窒化ケイ素(SiON)層である。一実施形態において、膜積層体400は、バックエンド(BEOL:配線形成工程)における配線構造の作製に適している。
ブロック304で、金属層エッチングガス混合物をリアクタ202に供給することにより、パターンマスク層408によって画成された開口部410を介して金属層404をエッチングする。金属層エッチングガス混合物により金属層404がエッチングされ、図4Bに図示されるように、材料層404にフィーチャー416が形成される。任意のバリア層406が存在する実施形態において、任意のバリア層406を、金属層404をエッチングするためにブロック304で供給したものと同じガス混合物を用いてエッチングしてよい。或いは、任意のバリア層406を、金属層エッチングガス混合物とは異なり且つ金属層404に対して任意のバリア層406を選択的にエッチングするバリア層エッチングガス混合物でエッチングしてもよい。一実施形態において、任意のバリア層406及び金属層404は、エッチング処理において異なるタイミングで供給された別々のガス混合物を用いて、1つのチャンバ内でエッチングされる。例示的な実施形態において、任意のバリア層406は、少なくともハロゲン含有ガスを含むバリア層エッチングガス混合物によりエッチングされる。
一実施形態において、ブロック304にて供給される金属層エッチングガス混合物は、塩素含有ガスを含む。塩素含有ガスを用いて、金属層404をエッチングするための反応性エッチャントを得る。この塩素含有ガスの適切な例には、BCl、Cl、又はHClの少なくとも1つが含まれる。一実施形態において、塩素含有ガスは、流量約20sccm〜約800sccmでガス混合物に供給される。本願に記載の特定の実施形態において、金属層404をエッチングするために使用される塩素含有ガスは、BClガス及びClガスを含む。BClガスは、流量約20sccm〜400sccmで供給され、Clガスは、流量約10sccm〜800sccmで供給される。
更に、不動態化ガスをガス混合物に供給することにより、エッチングされたフィーチャー416の一部(例えば、エッチングされたフィーチャーの側壁)をエッチング中に不動態化し、所望の深さのフィーチャーが金属層404に形成されるまで、エッチング中の金属層404における垂直プロファイルを維持する。一実施形態において、不動態化ガスは、窒素含有ガスと不飽和炭化水素ガスを含む。窒素含有ガスの適切な例には、N、NH等が含まれる。不飽和炭化水素ガスの適切な例には、−C=C−又は−C≡C−の単量体を含有する、いずれの炭素・水素系重合体、例えばC、C、C等が含まれる。例示的な実施形態において、不動態化ガスには、少なくともNガスとCガスが含まれる。
一実施形態において、Nガスは、エッチング処理中に不動態化種を提供する。不動態化種は、金属エッチング処理中に、ガス混合物中の解離した塩素イオンと反応し、エッチングされたフィーチャーの表面及び側壁に金属窒化物を生成する。エッチングされたフィーチャーの表面及び側壁上に堆積された、生成された金属窒化物により、金属層404に形成されたフィーチャーの垂直プロファイル及び限界寸法を制御する形で、エッチングされたフィーチャーが不動態化される。
更に、ガス混合物に供給された不飽和炭化水素ガスも、エッチング処理中、重合体を生成し、エッチングされたフィーチャーの側壁及び表面を不動態化し、金属層404に形成されたフィーチャーの垂直プロファイルを良好なものにする。一実施形態において、不飽和炭化水素ガスは、化学的に不活性なガスと共にガス混合物に供給される。不飽和炭化水素ガスがCガスである実施形態において、Cはヘリウム(He)ガスで希釈される。CのHeに対する分子比は、CとHe希釈ガスとの総ガス流量において、約25%〜約35%である。例えば、CとHeとの比(C:He)を約30%と70%として(希釈係数約2.33)、CをHeガスで希釈する。慣用の技法において、Heガスで希釈されるCの分子比が低くあることが(例えば、C:Heが2.7%:97.3%)、安全上の理由からCガスが過剰になることを防止するために薦められるが、これは純粋なCが爆発し易く燃え易いからである。加えて、慣用の技法においては、広いプロセスウィンドウを得る且つほぼ垂直のエッチングプロファイルを達成するためには希釈流の量が多いことが望ましいと信じられているが、本発明者は、希釈流の流量が多すぎると、プラズマ内で大きな乱流が生じ、ガス流量が変動しプラズマが不安定になることを発見した。エッチング対象の金属がアルミニウム(Al)である実施形態において、本発明者は、希釈流からのヘリウムイオンは、アルミニウム材料層の表面に衝突する際に多数の二次電子を生じる傾向があることを発見した。アルミニウム材料は、ケイ素、フォトレジスト、又は酸化ケイ素等の他の材料よりも高い二次電子放出係数を有しているため、ヘリウムイオンからの二次電子の衝突の間、アルミニウム表面はヘリウムイオンからの二次電子と反応し、ガス混合物中に発生するプラズマ強度に影響を与える傾向がある。ヘリウムイオンとアルミニウム表面との反応が処理リアクタ内の総イオン密度と分配に影響する結果、ガス流量が変動しプラズマが不安定になることがある。従って、Heガスで希釈されたCの分子比が高い、つまりCガスとHe希釈ガスとの総ガス流量におけるCガスの割合が25%より高く、ガス混合物全体に対するNガスの流量比が望ましいと、金属層エッチング処理におけるエッチングと不動態化挙動の制御が良好となる。また、CとHe希釈ガスとの総ガス流量におけるCの量が多いと、ガス混合物中の不動態化種の濃度が高くなり、基板表面上に形成される不動態化保護物の量が多くなる。
加えて、希釈ガス中のCガスの濃度が高くなると、エッチング中に処理チャンバ内で維持される圧力も影響される可能性がある。エッチング中の処理圧力が高いと分子の衝突の可能性が高くなり、エッチング反応全体の助けとなる場合がある。従って、処理圧力を比較的高い範囲、例えば10ミリTorrより高く制御し、同時にCガスの濃度を高くすることにより、分子の衝突の可能性が高くなり、ガス混合物における不動態種の濃度が上昇し、基板表面上に形成される不動態化保護物の量が多くなる。例示的な実施形態において、希釈ガス中のCの分子比に処理チャンバの圧力(ミリTorr)を掛けた値を約1.25より高く制御することにより、エッチング中に、所望の量の不動態化種が得られる。例えば、Cガスの希釈ガスに対する分子比が25%(0.25)でありチャンバ圧力が15mTに制御される実施形態において、分子比の0.25にチャンバ圧力15mTを掛けたものは3.75(0.25x15=3.75)となり、1.25より高くなる。別の実施形態において、Cの希釈ガスに対する分子比の値に処理チャンバ圧力を掛けた値は、1.5を超える値等の1.25より高い値、例えば2よりも高い値に制御される。
更に、He希釈ガスからの二次電子は、ガス混合物に供給された塩素含有ガスからの塩素イオンと反応するようにも思われる。従って、ガス混合物に供給される塩素含有ガスの量を制御することにより、塩素含有ガスから放出された十分な量の塩素イオンを利用して、He希釈ガスの二次電子によって引き起こされる乱流を軽減することができる。He希釈ガスからの二次電子が塩素と効率的に反応ことにより、ガス混合物中に残存している二次電子の総量は低下し、He希釈ガスの二次電子によって引き起こされるプラズマの不安定さとガス流量の変動は効果的に軽減される。一実施形態において、ガス混合物中に供給される塩素含有ガスの量は、エッチリアクタに供給されるHe希釈ガスの量に実質的に等しくなるように制御される。例えば、塩素含有ガスは、エッチリアクタに供給されるHe希釈ガスの流量と実質的に等しい流量で供給される。塩素含有ガスがBClガス及びClガスの双方を含む実施形態において、ガス混合物中に供給されるClガスは、エッチリアクタに供給されるHe希釈ガスの流量と実質的に等しくなるように制御される。この特定の実施形態において、Clガスは、流量約100sccm〜約300sccm、例えば約125sccm〜約250sccmで供給される。
エッチング中、基板402における異なる領域(例えば、基板402の中央部又は縁部)に、シャワーヘッド232からガス混合物が異なる量で供給されると、基板402のこれらの別々の領域におけるエッチ速度に差が生じる。例えば、ガス混合物中に供給される反応種(例えば、塩素含有ガスからの反応性エッチャント並びに窒素及び不飽和炭化水素ガスからの不動態化種)が異なる原子質量と平均的流路を有している場合、基板の縁部に向かって進む各ガス種の流量/速度は異なったものとなり、基板402の別々の領域におけるガス種の比は異なってしまう。例えば、窒素ガスは、基板表面において、不飽和炭化水素ガスよりも速く均一な流量を有する。ガス混合物に供給される不飽和炭化水素ガスに関しては、プラズマ解離時に、不飽和炭化水素種が、基板表面から基板縁部に亘る基板全体に長い重合体鎖を形成し、基板中央に近い領域よりも基板縁部に沿ってより多く且つより長い鎖の不飽和炭化水素種が蓄積される傾向がある。この効果は、エッチング処理中に制御される、C等のより高い濃度の不飽和炭化水素ガスや処理圧力である処理パラメータより優勢である。基板表面に不動態化種が多く蓄積されると、不動態化保護度が上昇するが、エッチング速度が下がるため、エッチングされたフィーチャーの実際の限界寸法(CD)は、基板表面において、ターゲット限界寸法(CD)よりも広くなり、これはCDゲインとして知られている。対照的に、不動態化種の蓄積量が少なく、より多くの反応性エッチャントが生成される基板領域においては、CDロスとして知られる狭い限界寸法(CD)が基板表面で見られることがある。従って、窒素ガスと不飽和炭化水素ガスの流量及び流量比並びにガス混合物に供給される希釈ガスに含まれる不飽和炭化水素ガスの分子濃度を調節することにより、ガス混合物に供給される各ガス種の運動性を比較的調節することができる。従って、望ましい比の不動態化種と反応性エッチャントが得られ、不動態化保護度とエッチング挙動が良好に制御され、金属層404の幅全体に亘って所望のプロファイルと寸法を有したフィーチャーを形成することができる。従って、基板表面全体に亘って、調節されバランスのとれた量の不動態化種が分配され、基板上の材料層404にエッチングされたフィーチャー416の限界寸法(CD)が均一になる。
基板縁部の不動態化保護はあまり必要ではないが、エッチングのためにより多い反応種を必要とする実施形態においては、低い流量比のCガス:Nガス、例えば、より多い量のNガスに対してより低い量のCガスを用いて、基板縁部付近で形成される長鎖炭素・炭素重合体の存在を少なくし、基板縁部でのエッチング速度を促進させる。対照的に、基板縁部に高い不動態化保護が必要だが、エッチング用の反応種はそれほど必要ではない実施形態の場合は、高い流量比のCガス:Nガス、例えば、より低い流量のNガスに対してより高い流量のCガスを用いて、基板縁部付近にて形成される長鎖炭素・炭素重合体の量を上昇させる。
一実施形態において、NガスとCガスとの流量比(希釈ガス中の分子濃度30%)は、約1:3〜約20:1である。別の実施形態において、NガスとCガスの流量比は、約1:1〜約10:1、例えば約3:1である。更に別の実施形態において、ガス混合物中に供給されるNガスとCガス(希釈ガス中の濃度30%)は、実質的に等しく構成され、例えば、NとC(希釈ガス中の濃度30%)の流量比1:1を有する。或いは、Nガスは、ガス混合物に、流量約1sccm〜約500sccm、例えば約1sccm〜約30sccmで供給される。Cガス(希釈ガス中の濃度30%)は、流量約1sccm〜約100sccm、例えば、約1sccm〜約30sccmで供給される。任意で、ガス混合物は、不活性ガスを更に含んでいてよい。この不活性ガスの適切な例には、Ar、He、Xe、Kr等が含まれる。
ブロック306で、プラズマをガス混合物から形成して、金属層404を、所望のプロファイルと均一な限界寸法(CD)のフィーチャー416にエッチングする。プラズマは、ガス混合物を解離して反応性エッチャントと不動態化種をガス混合物中に生成し、このエッチャントと不動態化種により、金属層404に形成されるフィーチャー416の限界寸法(CD)が均一になるような形で、金属層404はエッチングされる及び不動態化される。
金属層エッチングガス混合物をエッチリアクタ202に供給しながら、幾つかの処理パラメータを調節する。一実施形態において、エッチリアクタ内のガス混合物の圧力は、約5mTorr〜約200mTorr、例えば、約10mTorr〜約30mTorrに調節される。基板温度は、約10℃〜約90℃、例えば、約30℃〜約60℃に維持される。RFソース電力を、電力約400ワット〜約3000ワット、例えば、約1200ワットで印加して、ガス混合物からプラズマを発生させることができる。Ar又はHe等のキャリアガスは、流量約0sccm〜約200sccm、例えば、約5sccm〜約40sccmで供給することができる。エッチング時間は、約60秒〜約400秒であってよい。金属層404のエッチング処理は、その下の基板402が露出したと知らせる終点に達した後に、終了となる。終点は、いずれの適した方法によっても求めることができる。例えば、終点は、発光、既定の時間の満了を監視することにより又はエッチング対象である誘電性バリア層406が十分に除去されたことを確定するための別の指標により求めることができる。
図5は、基板中央部の点Aから基板縁部の点Bにかけて基板402上に形成されたフィーチャー416の限界寸法(CD)マップを示し、フィーチャーは、ブロック304で記載した、ガス混合物中に供給される選択された流量比の窒素と不飽和炭化水素によりエッチングされている。窒素ガスと不飽和炭化水素ガスの流量比を十分に吟味することにより、基板表面全体の限界寸法(CD)が均一となり、基板表面全体に亘って、エッチングされたフィーチャーのプロファイルは、望ましく且つ均一なものに保たれる。
本発明の実施形態により、配線構造中の金属層をエッチングするための改善された方法が提供される。本方法においては、エッチングのための望ましい不動態化ガス比を選択することにより、金属層に形成されるフィーチャーの限界寸法が効果的に調節され、配線構造中に形成されるフィーチャーのプロファイル及び寸法が保たれ、有利である。
上記は本発明の実施形態を対象としているが、本発明のその他及び更なる実施形態は、本発明の基本的な範囲から逸脱することなく創作することができ、本発明の範囲は特許請求の範囲に基づいて定められる。

Claims (15)

  1. 基板上に配置された金属層をエッチングして配線構造を形成するための方法であり、
    金属層をその上に有する基板をエッチリアクタ内に設置し、
    少なくとも塩素含有ガスと不動態化ガスを含有するガス混合物をリアクタに流すことを含み、不動態化ガスは窒素ガスと不飽和炭化水素ガスを含み、窒素ガスと不飽和炭化水素ガスが、ガス流量比約1:3〜約20:1を有し、
    ガス混合物から形成したプラズマを用いて金属層をエッチングすることを更に含む方法。
  2. エッチングが、パターンマスク層によって画成された開口部を介して金属層をエッチングすることを更に含む請求項1記載の方法。
  3. マスク層が、酸化ケイ素、窒化ケイ素、酸窒化ケイ素(SiON)、非晶質シリコン(α−Si)、炭化ケイ素及び非晶質炭素(α−カーボン)の少なくとも1つである請求項2記載の方法。
  4. 金属層がアルミニウム含有層である請求項1記載の方法。
  5. ガス混合物を流すことが、
    Clガスを流量約10sccm〜約800sccmで流し、
    BClガスを流量約20sccm〜約400sccmで流すことを更に含む請求項1記載の方法。
  6. 窒素含有ガスがNガスであり、不飽和炭化水素ガスがCである請求項1記載の方法。
  7. 不飽和炭化水素ガスが、希釈ガスと共にエッチリアクタに供給される請求項1記載の方法。
  8. 希釈ガスがHeである請求項7記載の方法。
  9. 不飽和炭化水素ガスと希釈ガスが、エッチリアクタに、不飽和炭化水素ガスの希釈ガスに対する分子比約25:75〜約35:65で供給される請求項7記載の方法。
  10. エッチリアクタの圧力を、約5mTorr〜約200mTorrに維持することを更に含む請求項9記載の方法。
  11. 希釈ガス中の不飽和炭化水素ガスの分子比にリアクタ圧力を掛けた値が、約1.25より大きい請求項10記載の方法。
  12. 希釈ガスは、塩素含有ガスの流量に実質的に等しい流量で供給される請求項7記載の方法。
  13. バリア層は、金属層とパターンマスク層との間に配置される請求項2記載の方法。
  14. 基板上に配置された金属層をエッチングするための方法であり、
    配線構造に適したアルミニウム層をその上に有する基板をエッチリアクタ内に設置し、
    BCl、Cl及び不動態化ガスを含有するガス混合物をリアクタに流すことを含み、不動態化ガスは窒素ガスと不飽和炭化水素ガスとを含み、窒素ガスと不飽和炭化水素ガスは、ガス流量比約1:3〜約20:1を有し、
    ガス混合物から形成したプラズマを用いて、パターンマスク層を介してアルミニウム層をエッチングすることを更に含む方法。
  15. ガス混合物を流すことが、窒素ガスと不飽和炭化水素ガスとの流量比を調節して、アルミニウム層に形成されるフィーチャーの限界寸法を調節することを更に含む請求項14記載の方法。
JP2008301079A 2007-11-28 2008-11-26 エッチング処理において限界寸法の均一性を調節するための方法 Withdrawn JP2009135498A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/946,562 US20100003828A1 (en) 2007-11-28 2007-11-28 Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas

Publications (1)

Publication Number Publication Date
JP2009135498A true JP2009135498A (ja) 2009-06-18

Family

ID=40437052

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008301079A Withdrawn JP2009135498A (ja) 2007-11-28 2008-11-26 エッチング処理において限界寸法の均一性を調節するための方法

Country Status (7)

Country Link
US (1) US20100003828A1 (ja)
EP (1) EP2065923A3 (ja)
JP (1) JP2009135498A (ja)
KR (1) KR101046818B1 (ja)
CN (1) CN101452881A (ja)
SG (1) SG153011A1 (ja)
TW (1) TW200947560A (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007143394A2 (en) 2006-06-02 2007-12-13 Nielsen Media Research, Inc. Digital rights management systems and methods for audience measurement
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
CN102270602A (zh) * 2010-06-04 2011-12-07 和舰科技(苏州)有限公司 一种铝导线的形成方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8647977B2 (en) 2011-08-17 2014-02-11 Micron Technology, Inc. Methods of forming interconnects
US9368368B2 (en) * 2014-07-21 2016-06-14 Tokyo Electron Limited Method for increasing oxide etch selectivity

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3905820A (en) * 1972-01-27 1975-09-16 Hoechst Ag Light sensitive copolymers, a process for their manufacture and copying compositions containing them
SE434517B (sv) * 1976-11-25 1984-07-30 Extensor Ab Komposition med bevexningsmotverkande egenskaper, anvendbar sasom skeppsbottenferg, innehallande partikelformigt polytetrafluoreten samt anvendning derav
DE3766315D1 (de) * 1986-08-06 1991-01-03 Ciba Geigy Ag Negativ-photoresist auf basis von polyphenolen und epoxidverbindungen oder vinylethern.
US5108842A (en) * 1988-12-22 1992-04-28 General Electric Company Curable dielectric polyphenylene ether-polyepoxide compositions useful in printed circuit board production
US5162450A (en) * 1989-02-17 1992-11-10 General Electric Company Curable dielectric polyphenylene ether-polyepoxide compositions
WO1998001085A1 (en) * 1996-07-09 1998-01-15 The Orthopaedic Hospital Crosslinking of polyethylene for low wear using radiation and thermal treatments
KR100268640B1 (ko) * 1996-01-22 2000-10-16 모리시타 요이찌 알루미늄합금막의 드라이에칭방법과,그 방법에 사용하는 에칭용 가스
US5849641A (en) * 1997-03-19 1998-12-15 Lam Research Corporation Methods and apparatus for etching a conductive layer to improve yield
US6776792B1 (en) * 1997-04-24 2004-08-17 Advanced Cardiovascular Systems Inc. Coated endovascular stent
US6949289B1 (en) * 1998-03-03 2005-09-27 Ppg Industries Ohio, Inc. Impregnated glass fiber strands and products including the same
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
DE10042152A1 (de) * 2000-08-26 2002-03-28 Basf Coatings Ag Mit aktinischer Strahlung aktivierbares Thixotropierungsmittel, Verfahren zu seiner Herstellung und seine Verwendung
DE10048275C1 (de) * 2000-09-29 2002-05-29 Basf Coatings Ag Thermisch und mit aktinischer Strahlung härtbares Mehrkomponentensystem und seine Verwendung
DE10048849A1 (de) * 2000-10-02 2002-04-18 Basf Coatings Ag Verfahren zur Herstellung eines thermisch und mit aktinischer Strahlung härtbaren Mehrkomponentensystems und seine Verwendung
DE10048847A1 (de) * 2000-10-02 2002-04-18 Basf Coatings Ag Lösemittelhaltiges, thermisch und mit aktinischer Strahlung härtbares Mehrkomponentensystem und seine Verwendung
DE10129970A1 (de) * 2001-06-21 2003-01-09 Basf Coatings Ag Thermisch und mit aktinischer Strahlung härtbare Beschichtungsstoffe, Verfahren zu ihrer Herstellung und ihre Verwendung
US6565659B1 (en) * 2001-06-28 2003-05-20 Advanced Cardiovascular Systems, Inc. Stent mounting assembly and a method of using the same to coat a stent
JP2003059906A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc エッチング方法およびキャパシタを形成する方法
DE10140156A1 (de) * 2001-08-16 2003-03-20 Basf Coatings Ag Thermisch und mit aktinischer Strahlung härtbare Beschichtungsstoffe und ihre Verwendung
US20030096090A1 (en) * 2001-10-22 2003-05-22 Boisvert Ronald Paul Etch-stop resins
DE10154030A1 (de) * 2001-11-02 2003-05-22 Basf Coatings Ag Effektgeber, wässriger Beschichtungsstoff, Verfahren zu seiner Herstellung und seine Verwendung
US6764658B2 (en) * 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
DE10200929A1 (de) * 2002-01-12 2003-07-31 Basf Coatings Ag Polysiloxan-Sole, Verfahren zu ihrer Herstellung und ihre Verwendung
US7060632B2 (en) * 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7198675B2 (en) * 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7109513B2 (en) * 2003-12-30 2006-09-19 Fuji Xerox Co., Ltd. Use of wicking means to manage fluids on optical level sensing systems
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
JP2006228986A (ja) * 2005-02-17 2006-08-31 Renesas Technology Corp 半導体装置の製造方法
JP2006310634A (ja) * 2005-04-28 2006-11-09 Sharp Corp 半導体装置の製造方法
US7277176B2 (en) * 2005-05-10 2007-10-02 Uvp, Inc. Emission filter X-Y array
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials

Also Published As

Publication number Publication date
US20100003828A1 (en) 2010-01-07
EP2065923A2 (en) 2009-06-03
TW200947560A (en) 2009-11-16
SG153011A1 (en) 2009-06-29
EP2065923A3 (en) 2010-03-10
CN101452881A (zh) 2009-06-10
KR101046818B1 (ko) 2011-07-06
KR20090055469A (ko) 2009-06-02

Similar Documents

Publication Publication Date Title
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
Armacost et al. Plasma-etching processes for ULSI semiconductor circuits
CN101064244B (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
JP5466756B2 (ja) プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US6291356B1 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US5827437A (en) Multi-step metallization etch
US6217786B1 (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6855643B2 (en) Method for fabricating a gate structure
WO1997045866A1 (en) Mechanism for uniform etching by minimizing effects of etch rate loading
JP2009135498A (ja) エッチング処理において限界寸法の均一性を調節するための方法
US20040237997A1 (en) Method for removal of residue from a substrate
US10224211B2 (en) Etching method
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
US20220059361A1 (en) Etching method and plasma processing apparatus
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US20020132488A1 (en) Method of etching tantalum
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
EP0993685B1 (en) Method for improving microloading while etching a substrate
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
US20030153193A1 (en) Etching method
US11201063B2 (en) Substrate processing method and substrate processing apparatus
US20080203056A1 (en) Methods for etching high aspect ratio features
JP7277225B2 (ja) エッチング方法、及び、プラズマ処理装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120207