KR20090055469A - 에칭 프로세스에서 최소선폭 균일성을 조절하는 방법 - Google Patents

에칭 프로세스에서 최소선폭 균일성을 조절하는 방법 Download PDF

Info

Publication number
KR20090055469A
KR20090055469A KR1020080106351A KR20080106351A KR20090055469A KR 20090055469 A KR20090055469 A KR 20090055469A KR 1020080106351 A KR1020080106351 A KR 1020080106351A KR 20080106351 A KR20080106351 A KR 20080106351A KR 20090055469 A KR20090055469 A KR 20090055469A
Authority
KR
South Korea
Prior art keywords
gas
etching
substrate
unsaturated hydrocarbon
metal layer
Prior art date
Application number
KR1020080106351A
Other languages
English (en)
Other versions
KR101046818B1 (ko
Inventor
구오웬 딩
창훈 이
테-티엔 수
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090055469A publication Critical patent/KR20090055469A/ko
Application granted granted Critical
Publication of KR101046818B1 publication Critical patent/KR101046818B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판에 대한 피쳐들에 대해 원하는 프로파일 및 균일한 최소선폭(CD)을 갖는 피쳐들을 형성하기 위해 기판상에 증착된 금속 물질층을 에칭하는 방법이 제공된다. 일 실시예에서, 기판상에 배치된 물질층을 에칭하는 방법은 상부에 금속층이 배치된 기판을 에칭 반응기에 제공하는 단계, 적어도 하나의 염소 함유 가스 및 패시베이션 가스를 포함하는 가스 혼합물을 반응기로 흘려보내는 단계, 및 가스 혼합물로부터 형성된 플라즈마를 이용하여 금속층을 에칭하는 단계를 포함하며, 패시베이션 가스는 질소 가스 및 불포화 탄화수소 가스를 포함하며, 질소 가스 및 불포화 탄화수소 가스는 약 1:3 내지 약 20:1 사이의 가스 유량 비율을 갖는다.

Description

에칭 프로세스에서 최소선폭 균일성을 조절하는 방법{METHODS FOR ADJUSTING CRITICAL DIMENSION UNIFORMITY IN AN ETCH PROCESS}
본 발명의 실시예들은 전반적으로 반도체 프로세싱 기술들에 관한 것으로, 특히 원하는 프로파일 및 최소선폭(CD)을 갖는 상호접속부 구조물에서 물질층에 피쳐들을 에칭하는 방법들에 관한 것이다.
절반-이하(sub-half) 마이크론 및 보다 작은 피쳐들의 신뢰성있는 제조는 차세대 반도체 소자의 VLSI 및 ULSI에 있어 주요한 기술들중 하나이다. 그러나, 회로 기술의 제한이 가해짐에 따라, VLSI 및 ULSI 상호접속부들의 치수를 축소시키는 것은 프로세싱 용량에 대한 추가 요구조건이 되었다. 게이트 패턴의 신뢰성있는 형성은 VLSI 및 ULSI 성공 및 회로 밀도 및 각각의 기판 및 다이의 품질을 증가시키기 위한 지속된 노력에 있어 중요하다.
피쳐 크기들이 보다 작아지고 피쳐들의 종횡비가 높아짐에 따라, 에칭 프로세스는 보다 나은 프로파일 제어 및 균일성 조정으로 피쳐들로 물질들을 에칭할 것을 요구한다. 에칭 동안, 적어도 하나의 할로겐 함유 가스를 포함하는 가스 혼합물은 통상적으로 기판상에 배치되는 물질들을 에칭하는 적극적인 반응성 에천트를 제공하는데 이용된다. 할로겐 함유 가스들은 기판의 상부 표면에 대해 에칭 챔버에 배치된 가스 분배 플레이트를 통해 프로세싱 챔버로 공급된다. 그러나 에칭 동안, 가스 혼합물은 기판의 표면 양단에 균일하게 분배되지 않아, 기판의 중심부와 에지 부분 사이에 불균일한 에칭 프로파일이 야기될 수 있다. 도 1은 종래의 에칭 기술에 의해 에칭되는 기판 중심부의 포인트 A로부터 종래의 에칭 기술에 의해 에칭된 기판 에지의 포인트 B로 기판상에 형성되는 피쳐들의 최소선폭(CD) 맵을 나타낸다. 플라즈마로부터 발생된 반응성 에천트들은 기판 표면에 대해 균일하게 분배되지 않을 수 있기 때문에, 기판의 중심부(A) 및 에지부(B)에서 발생되는 에칭 반응들은 상이하여, 화살표(102)로 표시되는 것처럼, CD 편차 및 목표 치수로부터 에칭된 피쳐의 결과 치수의 변화(drift)가 야기될 수 있다. 피쳐들의 불균일한 CD 프로파일은 원치않는 결함들을 산출할 있고, 또한 순차적인 프로세스 단계들에 악영향을 미쳐, 마지막에는 최종 집적된 회로 구조물의 성능을 경감시키거나 또는 손상시킬 수 있다.
따라서, 바람직한 CD 균일성 제어로 기판상의 피쳐들을 에칭하는 방법이 요구된다.
본 발명의 실시예들은 기판 양단의 피쳐들의 균일한 최소선폭(CD) 및 원하는 프로파일을 갖는 피쳐들을 형성하기 위해 기판상에 배치되는 금속층을 에칭하는 방법들에 관한 것이다. 일 실시예에서, 기판상에 배치된 금속층을 에칭하는 방법은 상부에 금속층이 배치된 기판을 에칭 반응기 제공하는 단계, 적어도 하나의 염소 하유 가스 및 패시베이션 가스를 포함하는 가스 혼합물을 반응기로 흘려보내는 단계, 및 가스 혼합물로부터 형성된 플라즈마를 이용하여 금속층을 에칭하는 단계를 포함한다. 패시베이션 가스는 질소 가스 및 불포화 탄화수소 가스를 포함하며, 질소 가스 및 불포화 탄화수소 가스는 약 1:3 내지 약 20:1 사이의 가스 유량 비율을 갖는다.
또 다른 실시예에서, 상호접속부 구조물을 형성하기 위해 기판상에 배치된 금속층을 에칭하는 방법은 상부에 상호접속부 구조물에 적합한 알루미늄층이 배치된 기판을 에칭 반응기에 제공하는 단계, BCl3, Cl2 및 패시베이션 가스를 포함하는 가스 혼합물을 반응기로 흘려보내는 단계, 및 가스 혼합물로부터 형성된 플라즈마를 이용하여 패터닝된 마스크층을 통해 알루미늄층을 에칭하는 단계를 포함한다. 패시베이션 가스는 질소 가스 및 불포화 탄화수소 가스를 포함하며, 질소 가스와 불포화 탄화수소 가스는 약 1:3 내지 약 20:1 사이의 가스 유량 비율을 갖는다.
본 발명의 또 다른 실시예에서, 상호접속부 구조물을 형성하기 위해 기판상에 배치된 금속층을 에칭하는 방법은 기판을 에칭 반응기에 제공하는 단계 - 상기 기판은 기판상에 배치되는 상호접속부 구조물에 적합한 알루미늄층 상에 패터닝된 마스크층을 가짐 - , BCl3, Cl2 및 패시베이션 가스를 포함하는 가스 혼합물을 반응기로 흘려보내는 단계, 및 가스 혼합물로부터 형성된 플라즈마를 이용하여 패터닝된 마스크층을 통해 알루미늄층을 에칭하는 단계를 포함한다. 패시베이션 가스는 N2 가스 및 C2H4 가스를 포함하며, N2 가스 및 C2H4 가스의 가스 유량 비율은 약 1:3 내지 약 20:1 사이이며, C2H4 가스는 약 25:75 내지 약 35:65 사이의 C2H4 가스 대 희석 가스의 분자 비율로 희석 가스와 함께 에칭 반응기에 공급된다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 일 실시예의 부재들 및 특징들은 추가 설명없이도 다른 실시예에 바람직하게 통합될 수 있다.
그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
본 발명의 실시예들은 적어도 염소 함유 가스 및 패시베이션 가스를 포함하는 가스 혼합물을 사용하여 기판상에 배치되는 금속층을 플라즈마 에칭하는 방법을 포함하며, 패시베이션 가스는 적어도 질소 가스 및 불포화 탄화수소 가스를 포함한다. 가스 혼합물은 기판 양단에 형성된 피쳐들의 균일한 최소선폭(CD)을 유지하면서 피쳐들의 프로파일들 및 치수들을 유지하는 방식으로 금속층을 에칭한다.
도 2는 본 발명에 따른 금속층 에칭을 수행하기에 적합한 플라즈마 소스 에칭 반응기(202)의 일 실시예의 개략적 단면도를 나타낸다. 본 발명을 수행하는데 적합한 예시적인 에칭 반응기들로는 DPS(Decoupled Plasma Source), DPS-II, DPS-11 AdvantEdge HT, DPS Plus, 또는 DPS DT, HART, HART TS 에칭 반응기가 있으며, 이들 모두는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능하다. 본 발명에 개시되는 금속층 에칭 프로세스는 다른 제조자들로부터의 에칭 반응기를 포함하는 다른 에칭 반응기들에서도 수행될 수 있다.
일 실시예에서, 반응기(202)는 프로세스 챔버(210)를 포함한다. 프로세스 챔버(210)는 트로틀 밸브(227)를 통해 진공 펌프(236)에 결합되는 고진공 용기이다. 프로세스 챔버(210)는 전도성 챔버벽(230)을 포함한다. 챔버벽(230)의 온도는 벽(230)내에 및/또는 벽(230) 부근에 위치되는 액체-함유 도관들(미도시)을 사용하여 제어된다. 챔버벽(230)은 전기적 접지(234)와 접속된다. 벽(230)의 내부 표면들을 커버하도록 라이너(231)가 챔버(210) 내에 배치된다. 라이너(231)는 챔버(210)의 인시튜 자체-정렬 성능을 조장하여, 라이너(231)상에 증착되는 부산물 및 잔류물을 쉽게 제거할 수 있다.
또한 프로세스 챔버(210)는 지지 페데스탈(216) 및 샤워헤드(232)를 포함한다. 지지 페데스탈(216)은 이격된 관계로 샤워헤드(232) 아래에 배치된다. 지지 페데스탈(216)은 프로세싱 동안 기판(200)을 유지하기 위해 정전기 척(226)을 포함할 수 있다. 정전기 척(226)에 대한 전력은 DC 전력원(220)에 의해 제어된다.
지지 페데스탈(216)은 매칭 네트워크(224)를 통해 무선 주파수(RF) 바이어스 전력원(222)과 결합된다. 바이어스 전력원(222)은 일반적으로 약 0 내지 3,000Watts의 바이어스 전력을 생성할 수 있다. 선택적으로, 바이어스 전력원(222)은 DC 또는 펄스형 DC 소스일 수 있다.
지지 페데스탈(216) 상에서 지지되는 기판(200)의 온도는 지지 페데스탈(216)의 온도를 조절함으로써 적어도 부분적으로 제어된다. 일 실시예에서, 지지 페데스탈(216) 내부에는 냉각제가 흐르도록 채널들이 형성된다. 또한, 후방 가스(backside gas), 이를 테면 가스 소스(248)로부터 제공되는 헬륨(He) 가스는 정전기 척(226)의 표면에 형성된 그루브들(미도시)과 기판(200)의 후면 사이에 배치되는 채널들에 제공된다. 후방 He 가스는 페데스탈(216)과 기판(200) 간에 효율적인 열전달을 제공한다. 또한, 정전기 척(226)은 프로세싱 동안 척(226)을 가열하기 위해 척 몸체 내에 저항성 히터(미도시)를 포함할 수 있다.
샤워헤드(232)는 프로세싱 챔버(210)의 리드(213)에 장착된다. 가스 패널(238)은 샤워헤드(232)와 리드(213) 사이에 한정된 플래넘(plenum)(미도시)과 유체적으로 결합된다. 샤워헤드(232)는 가스 패널(238)로부터 플레넘에 제공되는 가스들이 프로세스 챔버(210)에 진입할 수 있도록 허용하는 다수의 홀들을 포함한다.
샤워헤드(232) 및/또는 그 부근에 위치된 상부 전극(228)은 임피던스 변압기(219)(예를 들어, 1/4 파장 매칭 스터브)를 통해 RF 소스 전력(218)에 결합된다. RF 소스 전력(218)은 일반적으로 약 0 내지 5,000Watts의 소스 전력을 생성할 수 있다.
기판 프로세싱 동안, 챔버(210) 내부의 가스 압력은 가스 패널(238) 및 트로틀 밸브(227)를 사용하여 제어된다. 일 실시예에서, 챔버(210) 내부의 가스 압력은 약 0.1 내지 999mTorr로 유지된다. 기판(200)은 섭씨 약 10도 내지 약 500도 사이의 온도에서 유지될 수 있다.
중앙 처리 유니트(CPU)(244), 메모리(242) 및 지지 회로들(246)을 포함하는 제어기(240)는 본 발명의 프로세스들의 제어를 조장하도록 반응기(202)의 다양한 부품들과 결합된다. 메모리(242)는 임의의 컴퓨터-판독가능 매체, 이를 테면, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 반응기(202) 또는 CPU(244)에 대해 국부적 또는 원격적인 다른 형태의 임의의 디지털 저장기일 수 있다. 지지 회로들(246)은 종래 방식으로 CPU(244)를 지지하도록 CPU(244)와 결합된다. 이러한 회로들로는 캐시(cache), 전력원들, 클록 회로들, 입/출력 회로소자 및 서브시스템 및 이와 유사한 것들이 포함된다. 메모리(242)에 저장된 일련의 프로그램 명령들 또는 소프트웨어 루틴은, CPU(244)에 의해 실행될 때, 반응기(202)가 본 발명의 에칭 프로세스를 수행하게 한다.
도 2는 단지 본 발명을 실행하는데 이용될 수 있는 다양한 형태의 플라즈마 반응기들중 일례의 구성을 나타낸 것이다. 예를 들어, 상이한 형태의 소스 전력 및 바이어스 전력이 상이한 결합 메커니즘들을 이용하여 플라즈마 챔버에 결합될 수 있다. 소스 전력 및 바이어스 전력의 사용은 플라즈마 밀도 및 플라즈마를 기 준으로 한 기판의 바이어스 전압의 독립적 제어를 허용한다. 일부 분야들에서, 플라즈마는 기판이 배치되는 것과 상이한 챔버에서, 예를 들면 원격 플라즈마 소스에서 발생될 수 있으며, 순차적으로 플라즈마는 공지된 기술들을 사용하여 챔버로 유도된다.
도 3은 본 발명의 일 실시예에 따라 금속층을 에칭하는 에칭 프로세스(300)의 일 실시예에 대한 흐름도를 나타낸다. 도 4A-4B는 금속층 에칭 프로세스(300)의 시퀀스를 나타내는 개략적 단면도이다. 프로세스(300)는 플라즈마 프로세싱 챔버, 이를 테면 반응기(202) 또는 다른 적절한 에칭 챔버에서 프로세스(300)가 수행될 수 있도록, 제어기(240)에 의해 실행되는 명령들로서 메모리(242)에 저장될 수 있다.
프로세스(300)는 블록(302)에서 기판(402)상에 배치된 금속층(404)을 함유하는 막 스택(400)을 갖는 기판(402)을 반응기(202)에 제공함으로써 시작된다. 막 스택(400)은 기판(402) 상에 상호접속부 구조물을 형성하는데 이용될 수 있다. 일부 실시예들에서, 선택적 배리어층(406)은 금속층(404) 상에 배치될 수 있다. 예를 들어, 하드 마스크, 포토레지스트 마스크 또는 이들의 조합물들과 같은 패터닝된 마스크가 그 내부에서 피쳐들을 에칭하기 위해 막 스택(400)의 부분들(412)을 노출시키기 위한 에칭 마스크 한정 개구들(410)로서 사용된다.
도 4A에 도시된 실시예에서, 금속층(404)은 알류미늄 함유층이다. 알루미늄 함유층의 적절한 예들로는 알루미늄 금속, 알루미늄 합금 및 이와 유사한 것들이 포함된다. 예시적인 실시예에서, 금속층(404)은 알루미늄 금속(Al)층이다. 알루 미늄 금속층(404)은 약 1000Å 내지 약 20000Å 사이, 이를 테면 약 3000Å 내지 약 7000Å, 예를 들면 약 4000Å의 알루미늄 두께를 갖는다. 금속층(404)상에 배치되는 선택적 배리어층(406)은 인접한 층들속으로 하부에 놓인 금속층(404)이 확산되는 것을 방지하는데 이용된다. 배리어층(406)으로 적절한 예들로는 탄탈(Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물(TaSiN), 티타늄 질화물(TiN), 및 티타늄(Ti) 또는 이들의 조합물들이 있을 수 있다. 패터닝된 마스크층(408)은 다른 실리콘막들 중에서도, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), 비정질 실리콘(α-Si) 또는 실리콘 탄화물, 비정질 탄소(α-탄소)로 이루어진 그룹에서 선택된 단일층 형태일 수 있다. 선택적으로, 패터닝된 마스크층(408)은 하나는 앞서 개시된 물질들로부터 선택되며 다른 하나는 유기 포토레지스트층을 포함하는, 적어도 2개의 층들을 포함하는 복합 마스크 형태일 수 있다. 선택적 배리어층(406)이 존재하지 않는 실시예에서, 패터닝된 마스크층(408)은 금속층(404)상에 직접 배치될 수 있다. 예시적인 실시예에서, 패터닝된 마스크층(408)은 실리콘 산질화물(SiON)층이다. 일 실시예에서, 막 스택(400)은 BEOL(back end line)에서 상호접속부 구조물을 제조하기에 적합하다.
블록(304)에서, 패터닝된 마스크층(408)에 의해 한정되는 개구들(410)을 통해 금속층(404)을 에칭하기 위해 금속층 에칭 가스 혼합물이 반응기(202)에 공급된다. 금속층 에칭 가스 혼합물은 도 4B에 도시된 것처럼, 물질층(404)에 피쳐들(416)을 형성하도록 금속층(404)을 에칭한다. 선택적 배리어층(406)이 존재하는 실시예에서, 선택적 배리어층(406)은 금속층(404)을 에칭하기 위해 블록(304)에서 공급되는 동일한 가스 혼합물을 사용하여 에칭될 수 있다. 선택적으로, 선택적 배리어층(406)은 금속층 에칭 가스 혼합물과 상이한 배리어층 에칭 가스 혼합물에 의해 에칭될 수 있으며 금속층(404) 위에서 선택적 배리어층(406)을 선택적으로 에칭할 수 있다. 일 실시예에서, 선택적 배리어층(406) 및 금속층(404)은 에칭 프로세스들의 상이한 주기들 동안 공급되는 상이한 가스 혼합물들을 이용하여 단일 챔버에서 에칭될 수 있다. 예시적 실시예에서, 선택적 배리어층(406)은 적어도 할로겐 함유 가스를 포함하는 배리어층 에칭 가스 혼합물에 의해 에칭될 수 있다.
일 실시예에서, 블록(304)에서 공급되는 금속층 에칭 가스 혼합물은 염소 함유 가스를 포함한다. 염소 함유 가스는 금속층(404)을 에칭하기 위한 반응성 에천트를 제공하는데 이용된다. 염소 함유 가스의 적합한 예로는
Figure 112008075103109-PAT00001
또는 HCl중 적어도 하나가 포함된다. 일 실시예에서, 염소 함유 가스는 약 20sccm 내지 약 800sccm 사이의 유량의 가스 혼합물에 공급된다. 본 발명에 개시된 특정 실시예에서, 금속층(404)을 에칭하는데 이용되는 염소 함유 가스는
Figure 112008075103109-PAT00002
Figure 112008075103109-PAT00003
가스를 포함한다.
Figure 112008075103109-PAT00004
가스는 약 20sccm 내지 400sccm 사이의 유량으로 공급되며
Figure 112008075103109-PAT00005
가스는 약 10sccm 내지 800sccm 사이의 유량으로 공급된다.
또한, 원하는 깊이의 피쳐들이 금속층(404)에 형성될 때까지 에칭되는 금속층(404)의 수직 프로파일들이 유지되도록, 에칭 프로세스 동안 에칭된 피쳐들(416)의 일부, 예를 들면 에칭된 피쳐들의 측벽들을 패시베이팅하기 위해 패시베이션 가스가 가스 혼합물에 공급된다. 일부 실시예들에서, 패시베이션 가스는 질소 함유 가스 및 불포화 탄화수소 가스를 포함한다. 질소 함유 가스의 적절한 예들로는 N2, NH3 및 이와 유사한 것들이 포함된다. 불포화 탄화수소 가스의 적절한 예들로는
Figure 112008075103109-PAT00006
또는
Figure 112008075103109-PAT00007
, 이를 테면
Figure 112008075103109-PAT00008
또는 이와 유사한 것들이 포함된다. 예시적인 실시예에서, 패시베이션 가스는 적어도 N2 가스 및 C2H4 가스를 포함한다.
일 실시예에서, N2 가스는 에칭 프로세스 동안 패시베이션 종들을 제공한다. 패시베이션 종들은 금속 에칭 프로세스 동안 가스 혼합물의 해리된 염소 이온들과 반응하며 에칭된 피쳐들의 표면 및 측벽들 상에 금속 질화물을 형성한다. 에칭된 피쳐들의 표면 및 측벽들 상에 증착된 형성된 금속 질화물은 금속층(404)에 형성된 피쳐들의 수직 프로파일 및 최소선폭을 제어하는 방식으로 에칭된 피쳐들을 패시베이팅한다.
또한, 가스 혼합물에 공급되는 불포화 탄화수소 가스는 에칭 프로세스, 에칭된 피쳐들의 측벽들 및 표면들의 패시베이팅 동안 폴리머를 형성하여, 금속층(404)에 형성된 피쳐들의 바람직한 수직 프로파일을 제공한다. 일 실시예에서, 불포 탄화수소 가스는 화학적 비활성 가스와 함께 가스 혼합물에 공급된다. 불포화 탄화수소 가스가 C2H4 가스인 실시예에서, C2H4는 헬륨(He) 가스로 희석된다. C2H4 대 He의 분자 비율은 C2H4 및 He 희석 가스의 전체 가스 흐름에서 약 25% 내지 약 35% 사이이다. 예를 들어, C2H4는 약 2.33의 희석 팩터(factor)에 대해 약 30% 내지 70% 의 C2H4 대 He의 비율(C2H4:He)을 갖는 He로 희석된다. 종래의 기술들에서, 순수한 C2H4는 폭발성이며 가연성인 경향이 있기 때문에, 안전 목적을 위해 과도한 양의 C2H4 가스가 방지되도록, He 가스에서 희석된 C2H4의 낮은 분자 비율, 이를 테면 2.7%:97.3%의 C2H4:He가 제시된다. 부가적으로, 종래의 기술들에서는 희석된 흐름의 양이 높을수록 넓은 프로세스 윈도우를 제공하는 것이 바람직하며 거의 수직인 에칭 프로파일을 달성할 수 있을 것으로 여겼다. 그러나, 본 발명자들은 희석된 흐름의 과도하게 높은 흐름은 플라즈마의 높은 흐름 교란(turbulence)을 생성하여, 가스 흐름 변동 및 플라즈마 불안정성을 야기시킨다는 것을 발견했다. 에칭될 금속이 알루미늄(Al)인 실시예에서, 본 발명자들은 희석된 흐름으로부터 헬륨 이온들은 알루미늄 물질층의 표면을 가격하면서 상당한 수의 이차 전자들을 생성하는 경향이 있다는 것을 발견했다. 알루미늄 물질은 헬륨 이온들로부터의 이차 전자들에 의해 가격되는 동안 다른 물질, 이를 테면, 실리콘, 포토레지스트, 또는 실리콘 산화물보다 높은 2차 방출 계수를 갖기 때문에, 알루미늄 표면은 헬륨 이온들로부터의 이차 전자들과 반응하는 경향이 있어, 가스 혼합물에서 발생된 플라즈마 밀도에 영향을 미칠 수 있다. 헬륨 이온들과 알루미늄 표면 간의 상호작용은 전체 이온 밀도 및 프로세싱 반응기에서의 분배에 영향을 미칠 수 있어, 가스 흐름 변동 및 플라즈마 불안정성을 야기시킬 수 있다. 따라서, 원하는 가스 흐름 비율의 N2 가스 대 전체 가스 혼합물과 함께, He 가스에서 희석된 C2H4의 높은 분자 비율, C2H4 및 He 희석제의 전체 가스 흐름에서 25% 보다 큰 C2H4 가스는 금속층 에칭 프로세스에서 에칭 및 패시베이팅 반응들의 양호한 제어를 산출한다. C2H4 및 He 희석제의 전체 가스 흐름에서 C2H4 가스의 양이 많을수록 가스 혼합물에서 보다 높은 농도의 패시베이션 종들이 제공될 수 있어 기판 표면상에 형성되는 패시베이션 보호를 증가된다.
부가적으로, 희석 가스에서 C2H4 가스의 농도가 높을수록 에칭 동안 프로세싱 챔버에서 유지되는 압력에 영향을 미칠 수 있다. 에칭 동안 프로세싱 압력이 높을수록 전체 에칭 반응을 보조하도록 보다 높은 분자 충돌 기회가 제공될 수 있다. 따라서, 높은 농도의 C2H4 가스와 함께, 비교적 높은 범위의 압력, 이를 테면 10milliTorr 이상의 압력에서 프로세싱 압력을 제어함으로써, 높은 분자 충돌 기회가 얻어져, 보다 높은 농도의 가스 혼합물의 패시베이션 종들이 야기되고 기판 표면상에 형성된 패시베이션 보호가 증가될 수 있다. 예시적인 실시예에서, 희석 가스의 C2H4의 분자 비율과 프로세싱 챔버 압력(milliorr)을 곱한 값은 에칭 동안 원하는 양의 패시베이션 종들이 달성될 때까지 약 1.25 이상으로 제어된다. 예를 들어, C2H4 대 희석 가스의 분자 비율이 25%(0.25)이고 챔버 압력이 15mTorr로 제어되는 실시예에서, 0.25의 분자 비율과 15mT의 챔버 압력의 곱은 3.75(0.25×15=3.75)로, 이는 1.25보다 크다. 또 다른 실시예에서, C2H4 대 희석 가스의 분자 비율과 프로세싱 챔버 압력의 곱은 1.25 이상, 이를 테면 1.5 이상, 예를 들면 2 이상으로 제어된다.
또한, He 희석제로부터 이차 전자들은 가스 혼합물에 공급되는 염소 함유 가스로부터 염소 이온들과 반응하는 것으로 보인다. 따라서, 가스 혼합물에 공급된 염소 함유 가스의 양을 제어함으로써, 염소 함유 가스로부터 방출되는 충분한 양의 염소 이온들이 He 희석제 이차 전자에 의해 야기되는 교란을 감소시키는데 이용될 수 있다. He 희석제로부터의 이차 전자가 가스 혼합물에 유지되는 전체 이차 전자들의 양을 감소시키기 위해 염소와 충분히 반응함에 따라, He 희석제 이차 전자들에 의해 야기되는 플라즈마 불안정성 및 가스 흐름 변동이 효과적으로 감소된다. 일 실시예에서, 가스 혼합물에 공급되는 염소 함유 가스의 양은 반응기에 공급되는 희석 가스의 양과 실질적으로 동일해지게 제어될 수 있다. 예를 들어, 염소 함유 가스의 유량은 에칭 반응기에 공급되는 He 희석 가스의 유량과 실질적으로 동일하게 공급될 수 있다. 염소 함유 가스가 BCl3 및 Cl2 가스 모두를 포함하는 실시예에서, 가스 혼합물에 공급되는 Cl2 가스는 에칭 반응기에 공급되는 He 희석 가스의 유량과 실질적으로 같게 제어될 수 있다. 이러한 특정 실시예에서, Cl2 가스는 약 100sccm 내지 약 300sccm 사이, 이를 테면 약 125sccm 내지 약 250sccm 사이의 유량으로 공급된다.
에칭 동안, 기판(402) 양단의 상이한 영역들, 이를 테면 기판(402)의 중심부 또는 에지는 샤워헤드(232)로부터 공급되는 상이한 양의 가스 혼합물을 수용할 수 있어, 기판(402)의 상이한 영역에서 에칭률 편차가 야기된다. 예를 들어, 가스 혼 합물에 제공되는 반응성 종들, 이를 테면 질소 및 불포화 탄화수소 가스로부터의 패시베이션 종들 및 염소 함유 가스로부터의 반응성 에천트들은 상이한 원자 질량 및 평균 흐름 경로를 가질 수 있기 때문에, 기판의 에지를 향해 이동하는 각각의 가스 종들에 대한 유량/속도는 상이할 수 있어, 기판(402)의 상이한 영역들에서 가스 종들의 형성 비율은 변할 수 있다. 예를 들어, 질소 가스는 불포화 탄화수소 가스 보다 기판 표면에 대해 더 빠르고 더 균일한 유량을 가질 수 있다. 가스 혼합물에 공급되는 불포화 탄화수소 가스에 대해, 플라즈마 분리에 따라, 불포화 탄화수소 종들은 기판 표면 양단에 대해 기판 중심부로부터 기판 에지로 긴 폴리머 체인들을 형성하는 경향이 있어, 기판 중심부 부근 보다는 기판 에지를 따라 보다 많은 양 및 보다 긴 체인의 불포화 탄화수소 종들이 축적된다. 이러한 작용은 불포화 탄화수소 가스, 이를 테면, C2H4 가스의 높은 농도, 및 에칭 프로세스 동안 제어되는 보다 높은 프로세스 압력의 프로세스 파라미터들에 대해 우세하다. 기판 표면상에 축적되는 보다 많은 양의 패시베이션 종들은 높은 패시베이션 보호를 제공하는 에칭률을 낮춰, 기판 표면에서는, CD 이득으로 공지된 목표 최소선폭(CD) 보다 넓은 실제 최소선폭(CD)을 갖는 에칭된 피쳐들이 생성된다. 반대로, 보다 작은 양의 패시베이션 종들이 축적되고 보다 많은 양의 반응성 에천트들이 생성되는 기판 영역들에서, CD 손실로 공지된 좁은 최소선폭(CD)이 기판 표면상에서 발견될 수 있다. 따라서, 질소 가스, 불포화 탄화수소 가스 간의 흐름 양 및 흐름 비율, 및 가스 혼합물에 공급되는 희석 가스에 함유된 불포화 탄화수소 가스의 분자 농도 를 제어함으로써, 가스 혼합물에 공급되는 각각의 가스 종의 이동도가 상대적으로 조절될 수 있다. 따라서, 패시베이션 종들과 반응성 에천트들 간의 원하는 비율이 얻어질 수 있고, 패시베이션 보호 및 에칭 반응의 양호한 제어가 제공되어, 금속층(404)의 폭에 대해 원하는 프로파일 및 치수를 갖는 피쳐들이 형성된다. 따라서, 기판 표면에 대해 분포된 패시베이션 종들의 조절되고 균형잡힌 양이 얻어져, 기판 상의 물질층(404) 내에 형성된 에칭된 피쳐들(416)의 균일한 최소선폭(CD)이 제공된다.
기판 에지는 패시베이션 보호를 덜 요구하나 에칭을 위해 보다 높은 반응성의 종들이 요구되는 실시예에서, C2H4 가스 대 N2 가스의 낮은 유량 비율, 이를 테면 보다 높은 양의 N2 가스를 기준으로 보다 낮은 양의 C2H4 가스가 기판 에지 부근에 형성된 긴 체인 탄소-탄소 폴리머들의 존재를 감소시키기 위해 사용되어, 기판 에지 에칭률이 개선될 수 있다. 반대로, 기판 에지는 높은 패시베이션 보호를 요구하나 에칭을 위해 보다 낮은 반응성의 종들이 요구되는 실시예에서, C2H4 가스 대 N2 가스의 높은 유량 비율, 이를 테면 보다 낮은 양의 N2 가스를 기준으로 보다 높은 양의 C2H4 가스가 기판 에지 부근에 형성된 긴 체인 탄소-탄소 폴리머들의 양을 증가시키기 위해 이용될 수 있다.
일 실시예에서, N2 가스 대 (희석 가스에서 30%의 분자 농도를 갖는) C2H4 가스 간의 유량 비율은 약 1:3 내지 약 20:1 사이이다. 또 다른 실시예에서, N2 가스 대 C2H4 가스 간의 유량 비율은 약 1:1 내지 약 10:1, 이를 테면 3:1 사이이다. 또 다른 실시예에서, 가스 혼합물에 공급되는 N2 및 (희석 가스에서 30%의 분자 농도를 갖는) C2H4 가스는 실질적으로 동일한 양으로, 이를 테면, 1:1의 N2 및 (희석 가스에서 30%의 분자 농도를 갖는) C2H4의 유량 비율을 갖게 구성된다. 선택적으로, N2 가스는 약 1sccm 내지 약 500sccm 사이, 이를 테면 약 1sccm 내지 약 30sccm 사이의 유량으로 가스 혼합물에 공급된다. (희석 가스에서 30%의 분자 농도를 갖는) C2H4 가스는 약 1sccm 내지 약 100sccm, 이를 테면 약 1sccm 내지 약 30sccm 사이의 유량으로 공급된다. 선택적으로, 가스 혼합물은 비활성 가스를 더 포함할 수 있다. 비활성 가스의 적절한 예들로는 Ar, He, Xe, Kr 및 이와 유사한 것들이 포함된다.
블록(306)에서, 에칭된 피쳐들(416) 상에 원하는 프로파일 및 균일한 최소선폭(CD)로 금속층(404)을 에칭하기 위해 가스 혼합물로부터 플라즈마가 형성된다. 프라즈마는 가스 혼합물을 분해시켜, 가스 혼합물에 반응성 에천트와 패시베이션 종들을 형성하여, 금속층(404)에 형성된 피쳐들(416)의 균일한 최소선폭(CD)을 제공하는 방식으로 금속층(404)이 에칭되고 패시베이팅될 수 있다.
개별 프로세스 파라미터들은 금속층 에칭 가스 혼합물이 에칭 반응기(202)에 공급되는 동안 조절된다. 일 실시예에서, 에칭 반응기에서 가스 혼합물의 압력은 약 5mTorr 내지 약 200mTorr, 예를 들면, 약 10mTorr 내지 약 30mTorr 사이로 조절 된다. 기판 온도는 섭씨 약 10도 내지 약 90도 사이, 예를 들면, 섭씨 약 30도 내지 약 60도 사이로 유지된다. 가스 혼합물로부터 플라즈마를 제공하기 위해 RF 소스 전력은 약 400Watt 내지 약 3000Watt, 이를 테면 약 1200Watt의 전력으로 인가될 수 있다. Ar 또는 He와 같은 캐리어 가스가 약 0sccm 내지 약 200sccm 사이, 예를 들면 약 5sccm 내지 약 40sccm 사이의 유량으로 제공될 수 있다. 에칭 시간은 약 60초 내지 약 400초 사이에서 처리될 수 있다. 금속층(404) 에칭 프로세스는 하부에 놓인 기판(402)이 노출되는 엔드포인트 신호에 도달된 이후 종결된다. 엔드 포인트는 임의의 적절한 방법으로 결정될 수 있다. 예를 들어, 엔드포인트는 광학적 방출 모니터링, 예정된 시간 주기 경과 또는 에칭될 유전체 배리어층(406)이 충분히 제거되었다는 것을 나타내는 또 다른 표시기에 의해 결정될 수 있다.
도 5는 기판 중심부에서의 포인트 A에서 블록(304)에서 개시되는 가스 혼합물에 공급되는 질소와 불포화 탄화수소 가스 간에 선택된 유량 비율에 의해 에칭되는 기판 에지에서의 포인트 B로 기판(402)상에 형성된 피쳐들(416)의 최소선폭(CD) 맵을 나타낸다. 질소 가스와 불포화 탄화수소 가스 간에 바람직하게(well) 선택된 유량 비율로, 기판 표면 양단에 대한 균일한 최소선폭(CD)이 얻어져, 기판 표면 양단에 에칭된 피쳐들의 원하는 균일한 프로파일이 유지된다.
본 발명의 실시예들은 상호접속부 구조물의 금속층을 에칭하는 개선된 방법을 제공한다. 방법은 에칭을 위한 패시베이션 가스들의 원하는 비율을 선택함으로써 상호접속부 구조물에 형성된 피쳐들의 프로파일 및 치수를 유지하여, 금속층 내에 형성된 피쳐들의 최종 최소선폭이 효율적으로 조절될 수 있다.
지금까지 본 발명의 실시예들에 대해 개시되었지만, 하기 특허청구항들에 의해 결정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 본 발명의 다른 추가의 실시예들이 고안될 수 있다.
도 1은 종래 기술에 의해 에칭된 기판 양단에 형성된 피쳐들의 최소선폭(CD) 맵을 나타낸다.
도 2는 본 발명의 일 실시예에 따라 사용되는 플라즈마 반응기의 개략적 단면도이다.
도 3은 본 발명의 일 실시예에 따른 에칭 프로세스의 일 실시예의 흐름도이다.
도 4A-4B는 기판상에 배치된 상호접속부 구조물의 일 실시예의 단면도이다.
도 5는 본 발명의 일 실시예에 따른 에칭 프로세스에 의해 에칭되는 기판 양단에 형성된 피쳐들의 최소선폭(CD) 맵을 나타낸다.

Claims (15)

  1. 상호접속부 구조물을 형성하기 위해 기판상에 배치된 금속층을 에칭하는 방법으로서,
    상부에 금속층이 배치된 기판을 에칭 반응기에 제공하는 단계;
    적어도 염소 함유 가스 및 패시베이션 가스를 함유하는 가스 혼합물을 상기 반응기에 흘려보내는 단계; 및
    상기 가스 혼합물로부터 형성된 플라즈마를 이용하여 상기 금속층을 에칭하는 단계
    를 포함하며, 상기 패시베이션 가스는 질소 가스 및 불포화 탄화수소 가스를 포함하며, 상기 질소 가스 및 불포화 탄화수소 가스는 약 1:3 내지 약 20:1 사이의 가스 유량 비율을 갖는, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭하는 단계는 패터닝된 마스크층에 의해 한정된 개구들을 통해 상기 금속층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 에칭 방법.
  3. 제 2 항에 있어서,
    상기 마스크층은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), 비정질 실리콘(α-Si), 실리콘 탄화물 및 비정질 탄소(α-탄소)중 적어도 하나인 것 을 특징으로 하는 에칭 방법.
  4. 제 1 항에 있어서,
    상기 금속층은 알루미늄 함유층인 것을 특징으로 하는 에칭 방법.
  5. 제 1 항에 있어서, 상기 가스 혼합물을 흘려보내는 단계는,
    약 10sccm 내지 약 800sccm 사이의 유량으로 Cl2 가스를 흘려보내는 단계; 및
    약 20sccm 내지 약 400sccm 사이의 유량으로 BCl3 가스를 흘려보내는 단계
    를 더 포함하는 것을 특징으로 하는 에칭 방법.
  6. 제 1 항에 있어서,
    상기 질소 함유 가스는 N2 이며 상기 불포화 탄화수소 가스는 C2H4인 것을 특징으로 하는 에칭 방법.
  7. 제 1 항에 있어서,
    상기 불포화 탄화수소 가스는 희석 가스와 함께 상기 에칭 반응기에 공급되는 것을 특징으로 하는 에칭 방법.
  8. 제 7 항에 있어서,
    상기 희석 가스는 He인 것을 특징으로 하는 에칭 방법.
  9. 제 7 항에 있어서,
    상기 불포화 탄화수소 가스 및 상기 희석 가스는 약 25:75 내지 약 35:65 사이의 불포화 탄화수소 가스 대 희석 가스의 분자 비율로 상기 에칭 반응기에 공급되는 것을 특징으로 하는 에칭 방법.
  10. 제 9 항에 있어서,
    상기 에칭 반응기의 압력을 약 5mTorr 내지 약 200mTorr 사이로 유지하는 단계를 더 포함하는 것을 특징으로 하는 에칭 방법.
  11. 제 10 항에 있어서,
    상기 희석 가스의 불포화 탄화수소 가스의 분자 비율과 상기 반응기 압력의 곱의 값은 약 1.25 이상인 것을 특징으로 하는 에칭 방법.
  12. 제 7 항에 있어서,
    상기 희석 가스는 염소 함유 가스의 유량과 실질적으로 동일한 유량으로 공급되는 것을 특징으로 하는 에칭 방법.
  13. 제 2 항에 있어서,
    상기 배리어층은 상기 금속층과 상기 패터닝된 마스크층 사이에 배치되는 것을 특징으로 하는 에칭 방법.
  14. 기판상에 배치된 금속층을 에칭하는 방법으로서,
    상부에 상호접속부 구조물로 적합한 알루미늄층이 배치된 기판을 에칭 반응기에 제공하는 단계;
    BCl3, Cl2 및 패시베이션 가스를 함유하는 가스 혼합물을 상기 반응기에 흘려보내는 단계; 및
    상기 가스 혼합물로부터 형성된 플라즈마를 이용하여 패터닝된 마스크층을 통해 상기 알루미늄층을 에칭하는 단계
    를 포함하며, 상기 패시베이션 가스는 질소 가스 및 불포화 탄화수소 가스를 포함하며, 상기 질소 가스 및 상기 불포화 탄화수소 가스는 약 1:3 내지 약 20:1 사이의 가스 유량 비율을 갖는, 에칭 방법.
  15. 제 14 항에 있어서,
    상기 가스 혼합물을 흘려보내는 단계는 상기 알루미늄층에 형성된 피쳐들의 최소선폭을 조절하기 위해 상기 질소 가스와 상기 불포화 탄화수소 가스 간의 흐름 유량 비율을 조절하는 단계를 더 포함하는 것을 특징으로 하는 에칭 방법.
KR1020080106351A 2007-11-28 2008-10-29 에칭 프로세스에서 최소선폭 균일성을 조절하는 방법 KR101046818B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/946,562 2007-11-28
US11/946,562 US20100003828A1 (en) 2007-11-28 2007-11-28 Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas

Publications (2)

Publication Number Publication Date
KR20090055469A true KR20090055469A (ko) 2009-06-02
KR101046818B1 KR101046818B1 (ko) 2011-07-06

Family

ID=40437052

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080106351A KR101046818B1 (ko) 2007-11-28 2008-10-29 에칭 프로세스에서 최소선폭 균일성을 조절하는 방법

Country Status (7)

Country Link
US (1) US20100003828A1 (ko)
EP (1) EP2065923A3 (ko)
JP (1) JP2009135498A (ko)
KR (1) KR101046818B1 (ko)
CN (1) CN101452881A (ko)
SG (1) SG153011A1 (ko)
TW (1) TW200947560A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160011163A (ko) * 2014-07-21 2016-01-29 도쿄엘렉트론가부시키가이샤 산화물 에칭 선택도를 증가시키기 위한 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007143394A2 (en) 2006-06-02 2007-12-13 Nielsen Media Research, Inc. Digital rights management systems and methods for audience measurement
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
CN102270602A (zh) * 2010-06-04 2011-12-07 和舰科技(苏州)有限公司 一种铝导线的形成方法
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8647977B2 (en) 2011-08-17 2014-02-11 Micron Technology, Inc. Methods of forming interconnects
US20230238248A1 (en) * 2022-01-26 2023-07-27 Nanya Technology Corporation Method of processing substrate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3905820A (en) * 1972-01-27 1975-09-16 Hoechst Ag Light sensitive copolymers, a process for their manufacture and copying compositions containing them
SE434517B (sv) * 1976-11-25 1984-07-30 Extensor Ab Komposition med bevexningsmotverkande egenskaper, anvendbar sasom skeppsbottenferg, innehallande partikelformigt polytetrafluoreten samt anvendning derav
EP0255989B1 (de) * 1986-08-06 1990-11-22 Ciba-Geigy Ag Negativ-Photoresist auf Basis von Polyphenolen und Epoxidverbindungen oder Vinylethern
US5108842A (en) * 1988-12-22 1992-04-28 General Electric Company Curable dielectric polyphenylene ether-polyepoxide compositions useful in printed circuit board production
US5162450A (en) * 1989-02-17 1992-11-10 General Electric Company Curable dielectric polyphenylene ether-polyepoxide compositions
EP0785572A2 (en) * 1996-01-22 1997-07-23 Matsushita Electric Industrial Co., Ltd. Dry etching method for aluminium alloy and etching gas therefor
JP2000514481A (ja) * 1996-07-09 2000-10-31 ザ オーソピーディック ホスピタル 放射線及び熱処理を用いた低摩耗ポリエチレンの架橋
US5849641A (en) * 1997-03-19 1998-12-15 Lam Research Corporation Methods and apparatus for etching a conductive layer to improve yield
US6776792B1 (en) * 1997-04-24 2004-08-17 Advanced Cardiovascular Systems Inc. Coated endovascular stent
US6949289B1 (en) * 1998-03-03 2005-09-27 Ppg Industries Ohio, Inc. Impregnated glass fiber strands and products including the same
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
DE10042152A1 (de) * 2000-08-26 2002-03-28 Basf Coatings Ag Mit aktinischer Strahlung aktivierbares Thixotropierungsmittel, Verfahren zu seiner Herstellung und seine Verwendung
DE10048275C1 (de) * 2000-09-29 2002-05-29 Basf Coatings Ag Thermisch und mit aktinischer Strahlung härtbares Mehrkomponentensystem und seine Verwendung
DE10048849A1 (de) * 2000-10-02 2002-04-18 Basf Coatings Ag Verfahren zur Herstellung eines thermisch und mit aktinischer Strahlung härtbaren Mehrkomponentensystems und seine Verwendung
DE10048847A1 (de) * 2000-10-02 2002-04-18 Basf Coatings Ag Lösemittelhaltiges, thermisch und mit aktinischer Strahlung härtbares Mehrkomponentensystem und seine Verwendung
DE10129970A1 (de) * 2001-06-21 2003-01-09 Basf Coatings Ag Thermisch und mit aktinischer Strahlung härtbare Beschichtungsstoffe, Verfahren zu ihrer Herstellung und ihre Verwendung
US6565659B1 (en) * 2001-06-28 2003-05-20 Advanced Cardiovascular Systems, Inc. Stent mounting assembly and a method of using the same to coat a stent
JP2003059906A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc エッチング方法およびキャパシタを形成する方法
DE10140156A1 (de) * 2001-08-16 2003-03-20 Basf Coatings Ag Thermisch und mit aktinischer Strahlung härtbare Beschichtungsstoffe und ihre Verwendung
US20030096090A1 (en) * 2001-10-22 2003-05-22 Boisvert Ronald Paul Etch-stop resins
DE10154030A1 (de) * 2001-11-02 2003-05-22 Basf Coatings Ag Effektgeber, wässriger Beschichtungsstoff, Verfahren zu seiner Herstellung und seine Verwendung
US6764658B2 (en) * 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
DE10200929A1 (de) * 2002-01-12 2003-07-31 Basf Coatings Ag Polysiloxan-Sole, Verfahren zu ihrer Herstellung und ihre Verwendung
US7060632B2 (en) * 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7198675B2 (en) * 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US7109513B2 (en) * 2003-12-30 2006-09-19 Fuji Xerox Co., Ltd. Use of wicking means to manage fluids on optical level sensing systems
CN101124661A (zh) * 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
JP2006228986A (ja) * 2005-02-17 2006-08-31 Renesas Technology Corp 半導体装置の製造方法
JP2006310634A (ja) * 2005-04-28 2006-11-09 Sharp Corp 半導体装置の製造方法
US7277176B2 (en) * 2005-05-10 2007-10-02 Uvp, Inc. Emission filter X-Y array
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160011163A (ko) * 2014-07-21 2016-01-29 도쿄엘렉트론가부시키가이샤 산화물 에칭 선택도를 증가시키기 위한 방법

Also Published As

Publication number Publication date
TW200947560A (en) 2009-11-16
KR101046818B1 (ko) 2011-07-06
SG153011A1 (en) 2009-06-29
CN101452881A (zh) 2009-06-10
EP2065923A2 (en) 2009-06-03
EP2065923A3 (en) 2010-03-10
JP2009135498A (ja) 2009-06-18
US20100003828A1 (en) 2010-01-07

Similar Documents

Publication Publication Date Title
US6537918B2 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US7977390B2 (en) Method for plasma etching performance enhancement
KR101046818B1 (ko) 에칭 프로세스에서 최소선폭 균일성을 조절하는 방법
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US6833325B2 (en) Method for plasma etching performance enhancement
US20060016781A1 (en) Dry etching method
US7056830B2 (en) Method for plasma etching a dielectric layer
US20040053484A1 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
WO1999030357A1 (en) Method for etching silicon oxynitride and inorganic antireflection coatings
US6492068B1 (en) Etching method for production of semiconductor devices
JPH09148314A (ja) ケイ化チタンのエッチングプロセス
US8101025B2 (en) Method for controlling corrosion of a substrate
US20040237997A1 (en) Method for removal of residue from a substrate
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
CN105810582B (zh) 蚀刻方法
US20030092280A1 (en) Method for etching tungsten using NF3 and Cl2
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
US20020132488A1 (en) Method of etching tantalum
Gorowitz et al. Reactive ion etching
US20040084411A1 (en) Method of etching a silicon-containing dielectric material
US20030153193A1 (en) Etching method
JP2002530844A (ja) 残渣を残さずにアルミニウム及びその合金を異方性エッチングするための方法
JP2006261216A (ja) 半導体装置の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee