JP2018190983A5 - - Google Patents

Download PDF

Info

Publication number
JP2018190983A5
JP2018190983A5 JP2018090417A JP2018090417A JP2018190983A5 JP 2018190983 A5 JP2018190983 A5 JP 2018190983A5 JP 2018090417 A JP2018090417 A JP 2018090417A JP 2018090417 A JP2018090417 A JP 2018090417A JP 2018190983 A5 JP2018190983 A5 JP 2018190983A5
Authority
JP
Japan
Prior art keywords
resistant layer
plasma resistant
conformal
chamber component
aspect ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018090417A
Other languages
English (en)
Other versions
JP6976215B2 (ja
JP2018190983A (ja
Filing date
Publication date
Priority claimed from US15/965,794 external-priority patent/US10755900B2/en
Application filed filed Critical
Publication of JP2018190983A publication Critical patent/JP2018190983A/ja
Publication of JP2018190983A5 publication Critical patent/JP2018190983A5/ja
Application granted granted Critical
Publication of JP6976215B2 publication Critical patent/JP6976215B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (15)

  1. チャンバコンポーネントであって、
    本体内に表面と約1:1〜約300:1のアスペクト比を有する複数の高アスペクト比構成とを含む前記本体と、
    前記表面と前記複数の高アスペクト比構成の壁の上にあるコンフォーマルな第1のプラズマ耐性層であって、 多孔率約0%で厚さ約100nm〜約1ミクロンを有する前記コンフォーマルな第1のプラズマ耐性層と、
    前記表面の一領域で前記コンフォーマルな第1のプラズマ耐性層を覆うが、前記複数の高アスペクト比構成の前記壁では覆わない第2のプラズマ耐性層であって、多孔率1%未満で厚さ約1〜10ミクロンを有する前記第2のプラズマ耐性層を備えるチャンバコンポーネント。
  2. 前記チャンバコンポーネントはプラズマエッチングリアクタ用チャンバコンポーネントであり、前記チャンバコンポーネントは金属又は焼結セラミックのうちの少なくとも1つを含む請求項1に記載のチャンバコンポーネント。
  3. 前記コンフォーマルな第1のプラズマ耐性層はY、Al、YAl12、ErAl12、Y及びYFからなる群から選択される原子層堆積(ALD)コーティングである請求項1に記載のチャンバコンポーネント。
  4. 前記コンフォーマルな第1のプラズマ耐性層はNiを含むメッキコーティングである請求項1に記載のチャンバコンポーネント。
  5. 前記第2のプラズマ耐性層はYAl12、Y、Al、ErAl12、Y及びYFからなる群から選択されるコンフォーマルプラズマ耐性層である請求項1に記載のチャンバコンポーネント。
  6. 前記チャンバコンポーネントは処理チャンバ用シャワーヘッドであり、前記複数の高アスペクト比構成は前記シャワーヘッド内の複数の孔である請求項1に記載のチャンバコンポーネント。
  7. 前記コンフォーマルな第1のプラズマ耐性層及び前記第2のプラズマ耐性層を含む前記チャンバコンポーネントの絶縁破壊電圧は、約1000〜1500ボルト/ミルである請求項1に記載のチャンバコンポーネント。
  8. チャンバコンポーネントであって、
    本体内に表面と高アスペクト比構成とを含む前記本体であって、前記高アスペクト比構成は約1:1〜約300:1のアスペクト比を有し、前記表面の一領域は約200〜300マイクロインチの表面粗さを有する本体と、
    前記表面と前記複数の高アスペクト比構成の壁の上にあり、多孔率約0%で厚さ約100nm〜約10ミクロンを有するコンフォーマルな第1のプラズマ耐性層であって、前記コンフォーマルな第1のプラズマ耐性層の表面は、前記表面の前記領域で前記表面粗さに基づく表面粗さを有する前記コンフォーマルな第1のプラズマ耐性層と、
    前記表面の前記領域で前記コンフォーマルな第1のプラズマ耐性層を覆うが、前記複数の高アスペクト比構成の前記壁では覆わず、多孔率約1〜5%で厚さ約4〜20milを有する第2のプラズマ耐性層であって、前記コンフォーマルな第1のプラズマ耐性層の前記表面粗さは前記コンフォーマルな第1のプラズマ耐性層への前記第2のプラズマ耐性層の接着を容易にする前記第2のプラズマ耐性層とを備えるチャンバコンポーネント。
  9. 前記表面の前記領域で前記第2のプラズマ耐性層を覆い、前記複数の高アスペクト比構成の前記壁で前記コンフォーマルな第1のプラズマ耐性層を覆う、厚さ約100nm〜約10μmで多孔率約0%を有するコンフォーマルな第3のプラズマ耐性層を更に備え、前記コンフォーマルな第3のプラズマ耐性層はY、Al、YAl12、ErAl12、Y及びYFからなる群から選択される請求項8に記載のチャンバコンポーネント。
  10. 前記コンフォーマルな第1のプラズマ耐性層はY、Al、YAl12、ErAl12、Y及びYFからなる群から選択される原子層堆積(ALD)コーティングである請求項8に記載のチャンバコンポーネント。
  11. 前記コンフォーマルな第1のプラズマ耐性層はNiを含むメッキコーティングである請求項8に記載のチャンバコンポーネント。
  12. 前記第2のプラズマ耐性層はYAl12、Y、Al、ErAl12、Y及びYFからなる群から選択される請求項8に記載のチャンバコンポーネント。
  13. 前記コンフォーマルな第1のプラズマ耐性層の前記表面は、約200〜300マイクロインチの前記表面粗さを有する請求項8に記載のチャンバコンポーネント。
  14. 方法であって、
    メッキ又は原子層堆積(ALD)を実施しチャンバコンポーネント上にコンフォーマルな第1のプラズマ耐性層を形成するステップであって、前記コンフォーマルな第1のプラズマ耐性層は前記チャンバコンポーネントの表面上と、前記チャンバコンポーネント内の複数の高アスペクト比構成の壁上に形成され、前記複数の高アスペクト比構成は約1:1〜約300:1のアスペクト比を有し、前記コンフォーマルな第1のプラズマ耐性層は多孔率約0%で厚さ約100nm〜約1ミクロンを有するステップと、
    電子ビームイオンアシスト堆積(EB−IAD)、プラズマ強化化学気相蒸着(PECVD)、エアロゾル堆積又はプラズマ溶射のうちの1つを実施し、前記表面の一領域で前記コンフォーマルな第1のプラズマ耐性層を覆うが、前記複数の高アスペクト比構成の前記壁では覆わない第2のプラズマ耐性層を形成するステップとを含み、
    前記第2のプラズマ耐性層は多孔率約1%未満で厚さ約1〜10ミクロンを有する、方法。
  15. 前記メッキ又は前記ALDを実施する前に、前記チャンバコンポーネントの前記表面の前記領域を約200〜300マイクロインチの表面粗さに粗面化するステップを更に含み、前記コンフォーマルな第1のプラズマ耐性層は約200〜300マイクロインチの前記表面粗さを有する請求項14に記載の方法。
JP2018090417A 2017-05-10 2018-05-09 チャンバコンポーネント用多層プラズマ腐食防護 Active JP6976215B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762504471P 2017-05-10 2017-05-10
US62/504,471 2017-05-10
US15/965,794 US10755900B2 (en) 2017-05-10 2018-04-27 Multi-layer plasma erosion protection for chamber components
US15/965,794 2018-04-27

Publications (3)

Publication Number Publication Date
JP2018190983A JP2018190983A (ja) 2018-11-29
JP2018190983A5 true JP2018190983A5 (ja) 2021-10-28
JP6976215B2 JP6976215B2 (ja) 2021-12-08

Family

ID=64097956

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018090417A Active JP6976215B2 (ja) 2017-05-10 2018-05-09 チャンバコンポーネント用多層プラズマ腐食防護

Country Status (5)

Country Link
US (1) US10755900B2 (ja)
JP (1) JP6976215B2 (ja)
KR (1) KR102341307B1 (ja)
CN (2) CN108878246B (ja)
TW (2) TWM574331U (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11124659B2 (en) * 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7159074B2 (ja) * 2019-02-08 2022-10-24 キオクシア株式会社 ガス供給部材、プラズマ処理装置、及びコーティング膜の形成方法
CN109877012A (zh) * 2019-02-09 2019-06-14 沈阳富创精密设备有限公司 一种制备高致密氧化钇涂层的方法
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN110055529A (zh) * 2019-04-01 2019-07-26 沈阳富创精密设备有限公司 一种制备双层陶瓷层的方法
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
KR20210017826A (ko) 2019-08-09 2021-02-17 박준현 강아지 안정을 위한 스피커
CN110331362A (zh) * 2019-08-21 2019-10-15 重庆臻宝实业有限公司 抗等离子体腐蚀的复合涂层及制备方法
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11424140B2 (en) 2019-10-10 2022-08-23 Samsung Electronics Co., Ltd. Member, method of manufacturing the same, apparatus for manufacturing the same, and semiconductor manufacturing apparatus
CN113594013B (zh) * 2020-04-30 2024-01-26 中微半导体设备(上海)股份有限公司 零部件、其形成涂层的方法及装置和等离子体反应装置
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
US20230215703A1 (en) * 2020-06-23 2023-07-06 Lam Research Corporation Sealing surfaces of components used in plasma etching tools using atomic layer deposition
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
CN114078679B (zh) * 2020-08-14 2024-01-23 中微半导体设备(上海)股份有限公司 半导体零部件、复合涂层形成方法和等离子体反应装置
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
KR20220067696A (ko) * 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치
CN114981949A (zh) * 2020-12-24 2022-08-30 东华隆股份有限公司 静电吸盘及处理装置
KR20230158587A (ko) * 2021-03-19 2023-11-20 엔테그리스, 아이엔씨. 불화된 이트륨 코팅을 가진 기재, 및 기재의 제조 및 사용 방법
JP7154517B1 (ja) 2022-02-18 2022-10-18 Agc株式会社 イットリウム質保護膜およびその製造方法ならびに部材
US20230287564A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
CN115478249B (zh) * 2022-09-20 2024-03-05 宣城开盛新能源科技有限公司 一种铜铟镓硒溅射用的防着板及其制备方法和重复使用方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3761040B2 (ja) * 1995-06-26 2006-03-29 株式会社アルバック 真空装置用構造材料および真空装置用構造部材
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP3850277B2 (ja) * 2001-12-03 2006-11-29 東芝セラミックス株式会社 耐プラズマ性部材の製造方法
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4151308B2 (ja) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US7879182B2 (en) * 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
CN101207002A (zh) * 2006-12-22 2008-06-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体刻蚀设备中零件的表面处理方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
JP5227197B2 (ja) * 2008-06-19 2013-07-03 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
CN102362337B (zh) * 2009-03-24 2014-03-26 东丽株式会社 等离子体处理装置及使用其的非晶硅薄膜的制造方法
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011052463A1 (ja) * 2009-11-02 2011-05-05 東レ株式会社 プラズマcvd装置、および、シリコン薄膜の製造方法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US20120216955A1 (en) * 2011-02-25 2012-08-30 Toshiba Materials Co., Ltd. Plasma processing apparatus
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
JP5782293B2 (ja) * 2011-05-10 2015-09-24 東京エレクトロン株式会社 プラズマ生成用電極およびプラズマ処理装置
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
JP6097499B2 (ja) * 2012-07-20 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置用部品及びプラズマ処理装置
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9337002B2 (en) * 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN104715993B (zh) * 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9275840B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
JP2017512375A (ja) * 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
US20200087788A1 (en) * 2018-09-17 2020-03-19 Applied Materials, Inc. Multiple channel showerheads

Similar Documents

Publication Publication Date Title
JP2018190983A5 (ja)
US20190338408A1 (en) Coating for performance enhancement of semiconductor apparatus
SG10201800532UA (en) Plasma resistant coating of porous body by atomic layer deposition
US9617633B2 (en) Coating packaged chamber parts for semiconductor plasma apparatus
KR102557349B1 (ko) 처리 챔버의 내부식성 접지 차폐부
TWI545650B (zh) A method for manufacturing a gas sprinkler for a plasma processing chamber and a method for forming the same
JP2006194873A5 (ja)
KR102595824B1 (ko) 전도성 전극들을 갖는 세라믹 샤워헤드들
RU2007139636A (ru) Эрозионно стойкие покрытия и способы нанесения покрытий
JP2012253352A5 (ja)
KR20010015664A (ko) 플라즈마 스퍼터 반응기내의 절연 세라믹 코팅된 금속부
JP2004526054A5 (ja)
KR20020003367A (ko) 플라즈마처리 용기 내부재 및 그 제조방법
KR101322783B1 (ko) 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
JP2012221979A (ja) プラズマ処理装置
KR102590817B1 (ko) 적층 장치를 세정하는 방법
JP2017516914A5 (ja)
JP2021500480A (ja) 耐プラズマ性コーティング膜の製造方法及びこれにより形成された耐プラズマ性部材
US20090286012A1 (en) Method and Apparatus for High Rate, Uniform Plasma Processing of Three-dimensional Objects
JP4599371B2 (ja) アモルファス状炭素水素固形物皮膜被覆部材およびその製造方法
KR102658544B1 (ko) 구성 요소의 표면으로부터 보호층의 제어된 제거방법
JP6083889B2 (ja) アモルファスカーボン膜被覆部材
JP2002222767A (ja) 真空装置用治具の形成方法
JP2011218673A (ja) スクリーン印刷用メッシュ
JPH11314066A (ja) 被覆表面を有する胴体