TWM574331U - 具有多層電漿腐蝕保護的製品 - Google Patents

具有多層電漿腐蝕保護的製品 Download PDF

Info

Publication number
TWM574331U
TWM574331U TW107206105U TW107206105U TWM574331U TW M574331 U TWM574331 U TW M574331U TW 107206105 U TW107206105 U TW 107206105U TW 107206105 U TW107206105 U TW 107206105U TW M574331 U TWM574331 U TW M574331U
Authority
TW
Taiwan
Prior art keywords
plasma
layer
article
conformal
plasma layer
Prior art date
Application number
TW107206105U
Other languages
English (en)
Inventor
托恩 崔恩
卡利塔 拉卡薛斯瓦
金泰源
迪米奇 路柏曼斯基
鄔笑煒
小明 賀
周政玄
語南 孫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TWM574331U publication Critical patent/TWM574331U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0254Physical treatment to alter the texture of the surface, e.g. scratching or polishing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一種在製品上施加多層抗電漿塗層的方法包括執行鍍覆或ALD以在製品上形成保形的第一抗電漿層,其中保形的第一抗電漿層被形成在製品的表面上以及製品中的高深寬比特徵的壁上。保形的第一抗電漿塗層具有大約0%的孔隙率和大約200奈米至大約1微米的厚度。隨後執行電子束離子輔助沉積(EB-IAD)、電漿增強化學氣相沉積(PECVD)、氣溶膠沉積或電漿噴塗中的一者,以形成第二抗電漿層,該第二抗電漿層覆蓋該表面的一區域處的該保形的第一抗電漿層,但不覆蓋該高深寬比特徵的該壁處的該保形的第一抗電漿層。

Description

具有多層電漿腐蝕保護的製品
本公開的實施例大體涉及塗覆陶瓷的製品以及用於將多層陶瓷塗層施加到腔室組件上的製程。
在半導體行業中,元件通過產生不斷減小尺寸的結構的數個製造製程來製造。一些製造製程,諸如電漿蝕刻和電漿清潔製程,將基板暴露於高能電漿以蝕刻或清潔該基板。電漿可能具有高度腐蝕性,並且可能腐蝕暴露於電漿的處理腔室和其他表面。這種腐蝕可能生成顆粒,該顆粒經常污染正被處理的基板,從而導致元件缺陷。
隨著元件幾何形狀縮小,對缺陷的敏感性增加並且顆粒和污染物要求變得更加嚴格。相應地,隨著元件幾何形狀縮小,允許的顆粒污染水準可被降低。
在半導體蝕刻和選擇性去除腔室中使用的諸如噴頭、電漿源、基座和襯墊的許多腔室組件由裸鋁或陽極化鋁製成。然而,當暴露於氟基電漿時,這些腔室組件變得被氟化並造成顆粒污染。另外,噴頭包含許多供氣體流過的孔。由於氟化物顆粒堆積的積累,這些孔的直徑隨時間變化。孔直徑的變化導致隨時間的製程漂移和蝕刻速率漂移,這縮短了噴頭的壽命。
本公開的一些實施例針對一種用於形成具有保形的第一抗電漿層和第二抗電漿層的多層抗電漿塗層的製程。一些實施例針對諸如包括多層抗電漿塗層的用於處理腔室的腔室組件的製品。
在一個實施例中,一種製品包括主體,該主體包括表面和該主體中的多個高深寬比特徵。 該多個高深寬比特徵具有約3:1至約300:1的深寬比。在一個實施例中,高深寬比特徵具有1:1至300:1的深寬比或10:1至300:1的深寬比。深寬比是特徵的長度相對特徵的寬度或直徑的度量(例如,孔的深度與孔的直徑的比)。該製品進一步包括在該表面上和該多個高深寬比特徵的壁上的保形的第一抗電漿層。第一抗電漿層具有大約0%的孔隙率和大約100奈米至大約10微米(或約200奈米至約1微米)的厚度。該製品還包括第二抗電漿層(例如,保形的第二抗電漿層),該第二抗電漿層覆蓋該表面一區域處的保形的第一抗電漿層,但不覆蓋該多個高深寬比特徵的壁處的保形的第一抗電漿層。第二抗電漿層可以是具有小於1%的孔隙率和大約1-10微米的厚度的保形的第二抗電漿層。
在一個實施例中,一種製品包括主體,該主體包括表面和該主體中的多個高深寬比特徵。該多個高深寬比特徵具有約10:1至約300:1的深寬比。該表面的一區域具有大約200-300微吋的表面粗糙度。該製品進一步包括在該表面上和該多個高深寬比特徵的壁上的保形的第一抗電漿層。第一抗電漿層具有大約0%的孔隙率和大約100奈米至大約10微米(或約200奈米至約1微米)的厚度。 該保形的第一抗電漿層的表面具有大約200-300微吋的表面粗糙度。該製品進一步包括第二抗電漿層,該第二抗電漿層覆蓋該表面的該區域處的保形的第一抗電漿層,但不覆蓋該多個高深寬比特徵的壁處的保形的第一抗電漿層。第二抗電漿層具有大約1-5%的孔隙率和大約4-20密耳(例如5-10密耳)的厚度。 該保形的第一抗電漿層的表面粗糙度利於第二抗電漿層與保形的第一抗電漿層的黏附。
在一個實施例中,一種形成多層抗電漿塗層的方法包括執行塗覆技術,這包括但不限於鍍覆(例如電鍍)和原子層沉積(ALD)以在製品上形成保形的第一抗電漿層。 該保形的第一抗電漿層被形成在該製品的表面上以及該製品中多個高深寬比特徵的壁上。該多個高深寬比特徵具有約10:1至約300:1的深寬比。保形的第一抗電漿層具有大約0%的孔隙率和大約100奈米至約10微米(或約200奈米至大約1微米)的厚度。該方法進一步包括執行視線沉積方法,該視線沉積方法包括電子束離子輔助沉積(EB-IAD)、電漿增強化學氣相沉積(PECVD)、氣溶膠沉積或電漿噴塗中的一者,以形成第二抗電漿層,該第二抗電漿層覆蓋該表面的一區域處的保形的第一抗電漿層,但不覆蓋該多個高深寬比特徵的壁處的保形的第一抗電漿層。
本公開的一些實施例針對一種用於形成具有保形的第一抗電漿層和第二抗電漿層(該第二抗電漿層可以是保形的或者可以不是保形的)的多層抗電漿塗層的製程。在一些實施例中,該多層抗電漿塗層附加地包括保形的第三抗電漿層。如本文所用,對於層所應用的術語「保形」意指以基本上均勻厚度覆蓋製品的三維特徵的層。在一個實施例中,本文討論的保形層具有以均勻厚度塗佈的下層表面(包括塗佈的表面特徵)的共形覆蓋,該均勻厚度具有小於約+/- 20%的厚度變化、+/- 10%的厚度變化、+/- 5%的厚度變化,或者更小的厚度變化。使用諸如鍍覆(例如電鍍)或原子層沉積(ALD)的非現場線塗覆技術來沉積保形的第一抗電漿層,並且該第一抗電漿層塗覆製品的表面和製品中的高深寬比特徵(例如,孔或導管)的壁兩者。如本文所用地,術語「高深寬比」意指3:1的深寬比到300:1的深寬比。一些示例高深寬比特徵具有10:1、20:1、50:1和100:1的深寬比。使用現場線塗覆技術(諸如電漿噴塗、氣溶膠沉積、離子輔助沉積(例如電子束離子輔助沉積(EB-IAD)、電漿增強ALD(PE-ALD)和電漿增強化學氣相沉積(PE-CVD))沉積第二抗電漿層。可用於沉積第二抗電漿層的其他視線沉積技術包括物理氣相沉積(PVD)、冷噴塗和熱噴塗製程,諸如大氣電漿噴塗、懸浮電漿噴塗、低壓電漿噴塗等等。兩個保護層可以具有不同的厚度和/或密度。本文所公開的製程為腔室組件提供改進的抗電漿效能。
可以使用本文所述的多層抗電漿塗層塗覆的製品的一個示例是用於諸如電漿蝕刻機的處理腔室的噴頭。 該噴頭可以由諸如鋁的金屬或鋁合金(例如Al 6061)製成。噴頭可以包括許多供氣體在處理期間流過的孔或導管。噴頭可附加地包括一表面,該表面具有在處理期間直接暴露於電漿(例如,基於氟的電漿)中的離子和自由基的轟擊的區域。本文實施例中描述的多層抗電漿塗層包括塗覆孔/導管以及噴頭的表面的薄保形第一抗電漿層。多層抗電漿塗層附加地包括較厚的抗電漿層,該較厚的抗電漿層覆蓋該表面的暴露於電漿的該區域處的保形的第一抗電漿層。
保形的第一抗電漿層保護噴頭中的孔免受氟化學物質(例如NF 3)腐蝕。另外,保形的第一抗電漿層減輕了在噴頭中的孔的壁上的氟化物顆粒的堆積。因此,保形的第一抗電漿層減少了由於氟化物顆粒堆積引起的孔的直徑的變化所導致的製程和蝕刻速率漂移。此外,保形的第一抗電漿層通過減輕孔壁上的氟化物顆粒堆積並減少孔壁的腐蝕來減少經處理基板(例如晶圓)上的顆粒沉積物。
第二抗電漿層向噴頭提供500-1500伏特/密耳(V/mil)的擊穿電壓。如果第二抗電漿層是電漿噴塗層或通過氣溶膠沉積而沉積的層,則擊穿電壓可以為約500-1000伏特/密耳。如果第二抗電漿層是通過IAD、PE-CVD或PVD形成的更緻密層,則擊穿電壓可以為約1000-1500伏特/密耳。第二抗電漿層附加地保護噴頭的表面免受電漿(例如,基於氟的電漿)的侵蝕和/或腐蝕。在一些情況下,基於氟的電漿可以穿透第一抗電漿層(例如,如果第一抗電漿層具有垂直裂縫或大於1%的孔隙率)。在這種情況下,第一抗電漿層保護噴頭的表面免受穿透第二抗電漿層的氟電漿的侵蝕和/或腐蝕。
在一些實施例中,多層抗電漿塗層包括保形的第三抗電漿層。 該保形的第三抗電漿層可以通過例如ALD形成。保形的第三抗電漿層可以覆蓋暴露於離子和自由基的轟擊的區域處的第二抗電漿層,並且可以覆蓋孔壁上的保形的第一抗電漿層。在其中第二抗電漿層是電漿噴塗層或通過氣溶膠沉積而沉積的層的一些實施例中,可以添加保形的第三抗電漿層。 該保形的第三抗電漿層可以用作在第二抗電漿層的表面處的裂縫、孔、顆粒和/或其他表面缺陷中進行密封的蓋層。
在一些實施例中,多層抗電漿塗層包括保形的第三層,該保形的第三層在保形的第一抗電漿層的沉積之前被沉積。保形的第三層可以是非晶層,其可以減輕保形的第一抗電漿層中的應力和開裂。
多層抗電漿塗層可以對通過基於氟的電漿所進行的電漿蝕刻具有高度抗性。被塗覆製品的效能特性可以包括長壽命以及低的晶圓上顆粒和低的金屬污染。另外,多層抗電漿塗層可以減少通常隨時間發生的製程和蝕刻速率漂移。相應地,各實施例可減少工具停工時間、降低工具成本、減少經處理的基板的顆粒和污染、並提高製程間一致性。
當本文使用術語「約」和「大約」時,它們旨在表示所呈現的標稱值精確在±10%內。本文參考噴頭描述了實施例,並且對於具有高深寬比特徵和直接暴露於電漿的轟擊的區域的塗覆腔室組件特別有用。然而,本文描述的多層抗電漿塗層也可有利地用於暴露於電漿的許多其他腔室組件上,諸如用於電漿蝕刻機(也稱為電漿蝕刻反應器)的腔室組件或包括壁、襯墊、底座、環、觀察口、蓋子、噴嘴、基板保持框、靜電夾盤(ESC)、面板、選擇性調制裝置(SMD)、電漿源、基座等等的其他處理腔室。
此外,本文參考當在用於電漿富集製程的製程腔室中使用時可導致減少的顆粒污染的經塗覆腔室組件和其它製品來描述實施例。然而,應當理解,本文所討論的經塗覆製品還可以在用於諸如非電漿蝕刻機、非電漿清潔器、化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室等的其他製程的製程腔室中時提供減少的顆粒污染。此外,一些實施例是參考具體的抗電漿陶瓷描述的。然而,應該理解,各實施例同樣適用於除了在此討論的那些之外的其他抗電漿陶瓷。
1A 是根據本發明的實施例的具有用多層抗電漿塗層塗覆的一個或多個腔室組件的處理腔室100(例如,半導體處理腔室)的剖視圖。處理腔室100可被用於其中提供腐蝕性電漿環境的製程。例如,處理腔室100可以是用於電漿蝕刻反應器(也被稱為電漿蝕刻器)、電漿清潔器等的腔室。可包括抗電漿陶瓷塗層的腔室組件的示例是基板支撐元件148、靜電夾盤(ESC)、環(例如,製程套件環或單環)、腔室壁、底座、噴頭130、氣體分配板、襯墊、襯套、防護罩、電漿屏、流量等化器、冷卻底座、腔室觀察口、腔室蓋子、噴嘴、製程套件環等等。
在一個實施例中,下文更詳細描述的多層抗電漿塗層是多層塗層,其包括通過鍍覆(例如電鍍)或原子層沉積(ALD)而沉積的保形的薄第一抗電漿層以及通過諸如電漿噴塗、氣溶膠沉積、電子束離子輔助沉積(EB-IAD)、電漿增強化學氣相沉積(PE-CVD)或PVD的現場線沉積製程而沉積的較厚的第二抗電漿層。在其中通過電漿噴塗或氣溶膠沉積形成第二抗電漿層的一些實施例中,多層塗層附加地包括在第二抗電漿層和第一保形抗電漿層上面的共形的第三抗電漿層。
第一抗電漿層可以包括Y 2O 3、Al 2O 3、Er 2O 3、YF 3或Ni。第一抗電漿層可附加地包括Y 3Al 5O 12(YAG)、Er 3Al 5O 12(EAG)、Y-O-F(例如,Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物。在一些實施例中,第一抗電漿層包括交替的稀土氧化物(例如Y 2O 3)和另一種金屬(例如Al 2O 3)的子層。在一些實施例中,稀土氧化物的子層可以比附加金屬的子層厚大約2-12倍。第二抗電漿層可以包括Y 2O 3、Al 2O 3、Er 2O 3、Y 3Al 5O 12、Y 2O 3、Al 2O 3、YF 3、Er 3Al 5O 12、Y-O-F(例如Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或者包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物。任何的前述抗電漿陶瓷塗層可以包括痕量的其它材料,諸如ZrO 2、Al 2O 3、SiO 2、B 2O 3、Er 2O 3、Nd 2O 3、Nb 2O 5、CeO 2、Sm 2O 3、Yb 2O 3或其它氧化物。在一些實施例中,保形的第三抗電漿層由Y 2O 3、Al 2O 3、Er 2O 3、YF 3、Y 3Al 5O 12、Er 3Al 5O 12、Y-O-F (例如Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體或包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物構成。由於陶瓷塗層的電漿抗性和減少的晶圓上或基板污染,該多層陶瓷塗層允許更長的工作壽命。
在一個實施例中,處理腔室100包括圍封內部容積106的腔室主體102和噴頭130。噴頭130可以包括或可以不包括氣體分配板。例如,噴頭可以是包括噴頭底座和接合到噴頭底座的噴頭氣體分配板的多件式噴頭。可替代地,在一些實施例中,噴頭130可以由蓋子和噴嘴替換,或者在其他實施例中,由多個餅形噴頭隔室和電漿生成單元來替換。腔室主體102可由鋁、不銹鋼或其他合適的材料製成。腔室主體102通常包括側壁108和底部110。噴頭130(或蓋子和/或噴嘴)、側壁108和/或底部110中的任一者可包括多層抗電漿塗層。
外襯墊116可鄰近側壁108設置以保護腔室主體102。外襯墊116可以是含鹵素氣體抗性材料,諸如Al 2O 3或Y 2O 3。在一些實施例中,外襯墊116可被塗覆有多層抗電漿陶瓷塗層。
排放口126可被限定在腔室主體102中,且可將內部容積106耦接到泵系統128。泵系統128可包括一個或多個泵和節流閥,採用該一個或多個泵和節流閥以排空和調節處理腔室100的內部容積106的壓力。
噴頭130可以支撐在腔室主體102的側壁108上和/或腔室主體的頂部上。噴頭130(或蓋)可被打開以允許對處理腔室100的內部容積106的接取,並且在被關閉時可為處理腔室100提供密封。氣體面板158可被耦接到處理腔室100以通過噴頭130或者蓋和噴嘴向內部容積106提供製程氣體和/或清潔氣體。噴頭130可針對用於介電質蝕刻(對介電材料的蝕刻)的處理腔室而被使用。噴頭130包括遍及噴頭130的多個氣體輸送孔132。噴頭130可以由鋁、陽極化鋁、鋁合金(例如Al 6061)或陽極化鋁合金製成。在一些實施例中,噴頭包括接合到噴頭的氣體分配板(GDP)。例如,GDP可以是Si或SiC。GDP可以附加地包括與噴頭中的孔排成一行的多個孔。
1B 示出了 1A 的噴頭130的一部分的放大視圖。參考 1A 1B ,在實施例中,噴頭130被多層抗電漿塗層塗覆。特別地,在一些實施例中,噴頭的表面和噴頭中的孔132的壁由多層抗電漿塗層的薄保形第一抗電漿層150塗覆。另外,噴頭130的背側和噴頭的外側壁也可以被保形的第一抗電漿層150塗覆。諸如ALD或鍍覆(例如,電鍍)的非現場線沉積技術可以用於將第一抗電漿層150沉積在噴頭130的表面上和噴頭130中的孔132的壁上。第一抗電漿層150可以是Y 2O 3、Al 2O 3、Er 2O 3、YF 3、Er 3Al 5O 12、Y-O-F (例如Y 5O 4F 7)、Y 3Al 5O 12、Y 2O 3-ZrO 2的固溶體、包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物、或Ni。在一些實施例中,第一抗電漿層150包括交替的稀土氧化物(例如Y 2O 3)和另一種金屬氧化物(例如Al 2O 3)的子層。在一些實施例中,稀土氧化物的子層可以比附加金屬氧化物的子層厚大約2至12倍。在實施例中,第一抗電漿層150可以具有零孔隙率,可以是保形的,並且可以具有約100奈米至約10微米或約200奈米至約1微米的厚度。
在一些實施例中,可以在沉積第一抗電漿層150之前通過ALD或鍍覆(例如電鍍)來沉積附加的保形層(未示出)。附加的保形層可以是非晶材料,諸如Al 2O 3,且可以是在熱迴圈期間減輕第一抗電漿層150中的開裂的應力釋放層。在實施例中,附加的保形層可以具有零孔隙率並且可以具有約100奈米至約10微米或約200奈米至約1微米的厚度。
多層抗電漿塗層的第二抗電漿層152覆蓋噴頭130的表面的一些或全部區域處的第一抗電漿層150。然而,第二抗電漿層152使用諸如PE-CVD、EB-IAD、氣溶膠沉積或電漿噴塗的現場線沉積技術來沉積。相應地,第二抗電漿層152不塗覆噴頭130中的孔的壁。應該注意,在一些實施例中,孔132的壁的靠近噴頭130的表面的部分被第二抗電漿層152塗覆。然而,在這樣的實施例中,第二抗電漿層152不延伸超過約為孔的直徑的1至1.5倍的深度。在一個實施例中,孔132的直徑約為0.1至0.25吋。相應地,短語「覆蓋表面的一區域處的保形的第一抗電漿層、但不覆蓋多個高深寬比特徵的壁處的保形的第一抗電漿層的保形的第二抗電漿層」被解釋為涵蓋其中高深寬比特徵的壁的部分均不被第二抗電漿層塗覆的實施例以及其中高深寬比特徵的壁在製品的表面附近的一小部分至少部分地被第二抗電漿層塗覆的實施例兩者。第二抗電漿層152可以包括Y 2O 3、Al 2O 3、Er 2O 3、Y 3Al 5O 12、Y 2O 3、Al 2O 3、YF 3、Er 3Al 5O 12、Y-O-F(例如Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或者包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物。如果第二抗電漿層152是電漿噴塗層或通過氣溶膠沉積來沉積的層,則在實施例中其可以具有約4至20密耳(例如5至10密耳)的厚度。如果第二抗電漿層152是EB-IAD層或PE-CVD層,則在實施例中其可以具有約1至10微米的厚度。
在一些實施例中,保形的第三抗電漿層覆蓋第二抗電漿層152。另外,保形的第三抗電漿層覆蓋孔132上以及噴頭130的背側上和噴頭130的外側壁上的第一抗電漿層152。保形的第三抗電漿層可以由上面參考第一抗電漿層150描述的任何材料構成。
可用於在處理腔室100中處理基板的處理氣體的示例包括諸如C 2F 6、SF 6、SiCl 4、HBr、NF 3、CF 4、CHF 3、CH 2F 3、F、Cl 2、CCl 4、BCl 3和SiF 4之類的含鹵素氣體等等,以及諸如O 2或N 2O的其他氣體。載氣的示例包括N 2、He、Ar,以及對製程氣體惰性的其他氣體(例如,非反應性氣體)。氟基氣體可能會導致氟化物沉積物堆積在標準噴頭的孔上。然而,由於多層抗電漿塗層(並且特別是塗覆孔132的壁的第一抗電漿層150),噴頭130的孔132可對這種氟化物堆積具有抗性。
基板支撐元件148被設置在處理腔室100的內部容積106中、在噴頭130下方。基板支撐元件148在處理期間固持基板144(例如,晶圓)。基板支撐元件148可以包括在處理期間固定基板144的靜電夾盤、接合到靜電夾盤的金屬冷卻板和/或一個或多個附加部件。內襯墊可覆蓋基板支撐元件148的周邊。內襯墊可以是含鹵素氣體抗性材料,諸如Al 2O 3或Y 2O 3。在一些實施例中,基板支撐元件、基板支撐元件的部分和/或內襯墊可被塗覆有多層抗電漿陶瓷塗層。
2 示出了噴頭200的仰視圖的一個實施例。噴頭200可具有同心佈置的一系列氣體導管204(也稱為孔),該導管將電漿氣體直接均勻地分配在待蝕刻的基板或晶圓上面。此處描繪了噴頭,其具有以均勻分佈的同心環佈置的大約1100個氣體導管204以用於均勻分配氣體。在另一實施例中,氣體導管204可以以替代的幾何構造配置在噴頭的下表面205上(或者在黏結到噴頭的GDP的下表面上)。例如,噴頭可以具有有氣體導管204的行和列的正方形或矩形構造。應當理解,其他形狀(例如,三角形、五角形等)可被實現並被塗覆有如上所述的陶瓷塗層(例如,HPM塗層)。如所描繪的,噴頭200可以具有許多氣體導管204,或取決於所使用的反應器和/或製程的類型適當地具有儘可能少的氣體導管。
在一個實施例中,一些或全部氣體導管204不包括分支(例如,每個氣體導管可具有單個入口點和單個出口點)。另外,氣體導管可以具有各種長度和定向角度。氣體可以經由一個或多個氣體輸送噴嘴被輸送到氣體導管204。一些氣體導管204可以在其他氣體導管204之前接收氣體(例如,由於鄰近氣體輸送噴嘴)。然而,基於對氣體導管204的定向角度、直徑和/或長度的改變,或者通過使用附加的流量等化器,各氣體導管204可以被配置為大致同時將氣體輸送到擱置在噴頭下方的基板。例如,將首先接收氣體的氣體導管204可以比將稍晚接收氣體的導管更長和/或具有更大的角度(例如,更遠離90度的角度)。
3 是示出用於處理腔室的噴頭(或GDP)301的氣體導管304的一個實施例的剖視圖。在一個實施例中,氣體導管304將噴頭的一端(例如,與噴頭的充氣區域連接的一端)與噴頭301的下表面302流體地耦接。多層抗電漿塗層的第一抗電漿層320塗覆噴頭301的下表面302並且附加地塗覆氣體導管304的壁和噴頭301的背側。附加地,多層抗電漿塗層的第二抗電漿層322覆蓋下表面302處以及部分在氣體導管304的側壁上的第一抗電漿層320。然而,第二抗電漿層322不延伸到氣體導管304中。相應地,第二抗電漿層322被認為不塗覆氣體導管304的壁。另外,第三抗電漿層327覆蓋下表面302上的第二抗電漿層322。第三抗電漿層327附加地覆蓋氣體導管304的壁上和噴頭301的背側上的第一抗電漿層320。
一些或全部氣體導管304可以包括上部區域和更窄的下部區域。通過限制氣體可以流過氣體導管304的速率,更窄的下部區域説明促進跨所有氣體導管304的均勻氣流。這可以導致流過各氣體導管304的均勻分佈的氣流。
如圖所示,下表面302經受直接電漿暴露340。下表面302上的第二抗電漿層322提供了除了由第一抗電漿層320和第三抗電漿層327提供的保護之外的對防止直接電漿暴露340的附加保護。
4 示出了製造系統400的示例性架構。製造系統400可以是用於將塗層施加到製品的製造系統。在一個實施例中,製造系統400包括連接到裝備自動化層415的製造機器401(例如,處理裝備)。製造機器401可以包括珠粒噴爆器402、一個或多個濕式清潔器403、電漿噴塗系統404、原子層沉積(ALD)系統405、EB-IAD系統406、PE-CVD系統407、電鍍系統408、另一種類型的鍍覆系統(未示出)和/或氣溶膠沉積系統(未示出)。製造系統400還可以包括連接到裝備自動化層415的一個或多個計算裝置420。在可替代的實施例中,製造系統400可以包括更多或更少的部件。例如,製造系統400可以包括不具有裝備自動化層415或計算設備420的手動操作(例如離線)的製造機器401。
珠粒噴爆器402是被配置為使諸如用於處理腔室的腔室組件的製品的表面粗糙化的機器。珠粒噴爆器402可以是珠粒噴爆櫃、掌上型珠粒噴爆器或其他類型的珠粒噴爆器。珠粒噴爆器402可以通過用珠粒或顆粒轟擊基板來使基板粗糙化。在一個實施例中,珠粒噴爆器402向基板發射陶瓷珠粒或顆粒。可以使用的陶瓷珠粒的示例包括SiC珠粒和Al 2O 3珠粒。陶瓷珠粒可具有約1至5微米(例如1至3微米)的平均直徑。通過珠粒噴爆器402實現的粗糙度可以基於用於發射珠粒的力、珠粒材料、珠粒尺寸、珠粒噴爆器距基板的距離、處理持續時間等等。
在可替代的實施例中,可以使用除珠粒噴爆器402之外的其他類型的表面粗糙化器。例如,可以使用機動研磨墊來使陶瓷基板的表面粗糙化。當研磨墊被壓靠向製品的表面時,磨砂機可以旋轉或振動研磨墊。由研磨墊實現的粗糙度可取決於施加的壓力、振動或旋轉速率和/或研磨墊的粗糙度。
濕式清潔器403是使用濕式清潔製程清潔製品(例如製品)的清潔裝置。濕式清潔器403包括充滿液體的濕浴,在其中浸漬基板以清潔基板。濕式清潔器403可以在清潔期間使用超音波攪動濕浴以改善清潔效果。這在本文中被稱為對濕浴進行超聲處理。
在一些實施例中,濕式清潔器403包括含有去離子(DI)水的第一濕式清潔器和含有酸溶液的第二濕式清潔器。在實施例中,酸溶液可以是氫氟酸(HF)溶液、鹽酸(HCl)溶液、硝酸(HNO 3)溶液或前述酸溶液的組合。酸溶液可以從製品移除表面污染物和/或可以從製品的表面移除氧化物。在執行ALD之前用酸溶液清潔製品可以改善使用ALD沉積的塗層的品質。在一個實施例中,使用含有大約0.1至5.0體積 %HF的酸溶液來清潔由石英製成的腔室組件。在一個實施例中,使用含有大約0.1至20體積%HCl的酸溶液來清潔由Al 2O 3製成的製品。在一個實施例中,使用含有大約5至15體積%HNO 3的酸溶液來清潔由鋁和其它金屬製成的製品。
濕式清潔器103可以在處理期間的多個階段清潔製品。例如,在基板已被粗糙化之後且在執行ALD或鍍覆(例如,電鍍)之前、在第一抗電漿陶瓷層已被施加到製品之後、在第二抗電漿層已被施加到製品之後等等,濕式清潔器103可以清潔製品。
在其他實施例中,替代類型的清潔器(諸如乾式清潔器)可被用於清潔製品。乾式清潔器可通過施加熱、通過施加氣體、通過施加電漿等來清潔製品。
電漿噴塗系統404是被配置為將陶瓷塗層電漿噴塗到製品的表面的機器。電漿噴塗系統404可以是低壓電漿噴塗(LPPS)系統或大氣壓電漿噴塗(APPS)系統。LPPS系統和APPS系統兩者均可用於沉積多孔低密度抗電漿層(例如,用於多層抗電漿塗層的第二抗電漿層)。 LPPS包括可向下泵至減小的壓強(例如,達到1Mbar、10Mbar、35Mbar等的真空)的真空腔室,而APPS系統不包括任何真空腔室,並且可以替代地包括開放式的腔室或房間。
在電漿噴塗系統404中,在氣體流過的兩個電極之間形成電弧。隨著氣體被電弧加熱,氣體膨脹並通過電漿焰炬的成形噴嘴加速,從而創建高速電漿射流。由粉末輸送系統將由陶瓷和/或金屬材料構成的粉末佈植電漿射流中。電漿射流的強烈溫度熔化粉末並將熔化的陶瓷和/或金屬材料推向製品。在與製品碰撞時,熔化的粉末變平,迅速固化,並形成黏附在製品上的一層陶瓷塗層。影響電漿噴塗層的厚度、密度和粗糙度的參數包括粉末的類型、粉末尺寸分佈、粉末饋送速率、電漿氣體成分、氣體流速、能量輸入、壓力和吹管偏移距離。在實施例中,電漿噴塗層可具有約1至5%的孔隙率(例如2%、3%、4%等的孔隙率)。孔隙率是材料中孔隙(例如,空的空間)的度量,並且是孔隙的體積佔總體積或材料的分數。
ALD系統405是執行原子層沉積以在製品上形成薄緻密保形層的系統。ALD允許通過與製品的表面的化學反應來控制材料的自限沉積。除了是保形製程外,ALD還是均勻製程。製品的所有暴露側(包括高深寬比特徵(例如,約10:1至約300:1))將具有相同或近似相同量的所沉積材料。 ALD製程的典型反應迴圈從前驅物(即單一化學品A)被佈植ALD腔室並被吸收到製品表面上開始。然後在將反應物(即單一化學品R)引入ALD腔室並隨後被沖出之前,將過量的前驅物從ALD腔室中沖出。在一些實施例中,可重複該過程以建立具有高達約1微米的厚度的ALD層。
與典型地用於在製品上沉積塗層的其他技術(諸如電漿噴塗和離子輔助沉積)不同,ALD技術可以在高深寬比特徵內(即,在特徵的表面上)沉積材料層。另外,ALD技術產生無孔隙(即無針孔)的相對薄(即1微米或更小)的塗層,其可以減弱沉積期間的裂紋形成。如本文所用的術語「無孔隙」意指沿透射電子顯微鏡(TEM)測量的沿著塗層的整個深度沒有任何孔隙、針孔、孔隙或裂縫。
由ALD系統405用於形成抗電漿層的前驅物取決於所形成的抗電漿層。在一些實施例中,抗電漿層是Al 2O 3並且由鋁前驅物形成,該鋁前驅物諸如是二乙基乙醇鋁、三(乙基甲基氨基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁或三(二乙基醯氨基)鋁。在一些實施例中,抗電漿層是Y 2O 3或YF 3並且由釔前驅物形成,該釔前驅物諸如是三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(2,2,6,6-四甲基-3,5-庚二酮)釔(III)或釔(III)丁醇鹽。在一些實施例中,抗電漿層是Er 2O 3,並由鉺前驅物形成,該鉺前驅物諸如是三甲基環戊二烯基鉺(III)(Er(MeCp) 3)、硼化鉺(Er(BA) 3)、Er(TMHD) 3、三(2,2,6,6-四甲基-3,5-庚二酮酸)鉺(III)、和三(丁基環戊二烯基)鉺(III)。
如果沉積的抗電漿層是氧化物,則由ALD系統405用於形成抗電漿層的反應物可以是氧氣、水蒸氣、臭氧、純氧、氧自由基或另一氧源。如果要形成YF 3抗電漿層,則反應物可以是氟化物(例如,TiF 4)。
EB-IAD系統406是執行電子束離子輔助沉積的系統。 可替代地,其他類型的IAD系統可被用於實施例中,諸如活化反應性蒸發離子輔助沉積(ARE-IAD)或離子束濺射離子輔助沉積(IBS-IAD)。EB-IAD可以通過蒸發執行。IBS-IAD可以通過濺射固體靶材料(例如,固體金屬靶材)來執行。任何IAD方法都可以在存在反應性氣體物質(諸如O 2、N 2、鹵素等)的情況下執行。
對於各種類型的IAD,通過存在諸如離子的能量粒子的情況下沉積材料的積累來形成薄膜抗電漿層。沉積材料包括原子、離子、自由基或其混合、混成物。能量粒子可以在其形成時衝擊並壓實薄膜抗電漿層。
對於IAD,材料源提供沉積材料的通量,而能量粒子源提供能量粒子的通量,兩者均在整個IAD製程期間撞擊製品。能量粒子源可以是氧氣或其他離子源。能量粒子源還可以提供來自粒子生成源(例如,來自電漿、反應性氣體或來自提供沉積材料的材料源)的其他類型的能量粒子,諸如自由基、原子、離子、和奈米尺寸粒子。用於提供沉積材料的材料源(例如,靶材體)可以是對應於將組成抗電漿層的相同陶瓷的塊燒結陶瓷。
IAD可利用一個或多個電漿或束來提供材料和能量離子源。反應性物質也可以在抗電漿塗層沉積期間被提供。通過IAD製程,能量粒子可以由能量離子(或其他粒子)源獨立於其他沉積參數來控制。能量離子通量的能量(例如速度)、密度和入射角可以被選擇以實現抗電漿層的目標成分、結構、晶體定向和晶粒尺寸。可以調整的附加參數是沉積期間製品的溫度以及沉積的持續時間。EB-IAD和IBS-IAD沉積在寬範圍的表面條件下都是可行的。然而,在拋光表面上執行的IAD可實現增加的擊穿電壓。
PE-CVD系統407是執行PE-CVD以將來自蒸汽的薄膜沉積到基板上的固體的系統。PE-CVD涉及在產生電漿之後發生的化學反應。電漿可以通過在填充有反應物氣體的腔室中的兩個電極之間的射頻(RF)或直接頻率(DC)放電來產生。PE-CVD產生與EB-IAD和其他IAD製程所產生的薄緻密膜相似的薄緻密膜。
電鍍系統408是執行(例如Ni的)電鍍的系統。電鍍是通過水解將一種金屬鍍覆到另一金屬上的製程。電鍍系統408施加電流以減少溶解的金屬陽離子,使得它們在製品上形成薄黏附金屬塗層。具體而言,待鍍製品可以是電路的陰極,而金屬供體可以是電路的陽極。製品和金屬供體可被浸入含有一種或多種增加電解質的導電性的溶解的金屬鹽和/或其他離子的電解質中。來自金屬供體的金屬接著鍍覆製品的表面。
可以使用的另一種類型的鍍覆系統是執行無電鍍覆的無電鍍覆系統。無電鍍覆(也稱為化學鍍覆或自動催化鍍覆)是一種非流電鍍覆方法,其涉及水溶液中的若干同時的反應,這些反應在不使用外部電源的情況下發生。當氫通過還原劑(通常為次磷酸鈉或硫脲)被釋放並被氧化時反應完成,從而在該部分的表面上產生負電荷。
裝備自動化層415可以將一些或全部製造機器401與計算設備420、與其他製造機器、與計量工具和/或其他設備互連。裝備自動化層415可以包括網路(例如,位置區域網(LAN))、路由器、閘道、伺服器、資料存儲等。製造機器401可以經由SEMI裝備通信標準/通用裝備模型(SECS/GEM)介面、經由乙太網介面和/或經由其他介面連接到裝備自動化層415。在一個實施例中,裝備自動化層415使得能夠將製程資料(例如,在製程運行期間由製造機器401收集的資料)存儲在資料存儲(未示出)中。在可替代的實施例中,計算設備420直接連接到製造機器401中的一個或多個。
在一個實施例中,一些或全部製造機器401包括可載入、存儲和執行製程配方的可程式設計控制器。可程式設計控制器可以控制製造機器401的溫度設置、氣體和/或真空設置、時間設置等。可程式設計控制器可以包括主記憶體(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM)、靜態隨機存取記憶體(SRAM)等)和/或次要存放裝置(例如,諸如盤驅動器的資料存放裝置)。主記憶體和/或次要存放裝置可以存儲用於執行本文描述的熱處理製程的指令。
可程式設計控制器還可以包括耦合到主記憶體和/或次要存放裝置(例如,經由匯流排)以執行指令的處理設備。處理設備可以是諸如微處理器、中央處理單元等的通用處理設備。處理設備也可以是諸如特殊應用積體電路(ASIC)、現場可程式設計閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等的專用處理設備。在一個實施例中,可程式設計控制器是可程式設計邏輯控制器(PLC)。
在一個實施例中,製造機器401被程式設計為執行配方,該配方將導致製造機器使製品粗糙化、清潔製品、塗覆製品等。在一個實施例中,製造機器401被程式設計為執行配方,該配方執行用於製造具有多層抗電漿塗層的製品的多步驟製程的操作,如參考 5 6 所述的。計算設備420可存儲一個或多個塗覆、清潔和/或粗糙化配方425,該配方可被下載到製造機器401以使製造機器401根據本公開的實施例製造製品。
5 示出了用於在腔室組件上形成多層抗電漿塗層的製程500的一個實施例。製程500可以使用 4 的製造機器401中的一個或多個來執行。在製程500的框502處,可以將掩模施加到製品的表面。製品可以是本文討論的任何製品,諸如用於處理腔室的噴頭或其他腔室組件。掩模可以暴露製品的表面的將被粗糙化的區域並且可以覆蓋製品的將不會被粗糙化的部分。當製品安裝在處理腔室中並且處理腔室在使用中時,製品的將被粗糙化的區域可以是直接暴露於電漿的區域。在框504處,執行粗糙化製程,諸如珠粒噴爆,以使製品的表面的暴露區域粗糙化。在一個實施例中,表面的暴露區域被粗糙化為約200至300微吋(例如220微吋)的平均表面粗糙度。在其他實施例中,可將暴露區域粗糙化為50至300微吋、50至200微吋、100至200微吋、150至400微吋、200至240微吋的平均表面粗糙度或其他表面粗糙度。
在框506處,將掩模從製品移除。在框508處,在酸溶液中清潔製品。在實施例中,酸溶液可以是氫氟酸(HF)溶液、鹽酸(HCl)溶液、硝酸(HNO 3)溶液或前述酸溶液的組合。酸溶液可以從製品移除表面污染物和/或可以從製品的表面移除氧化物。在執行ALD之前用酸溶液清潔製品可以改善使用ALD沉積的塗層的品質。在一個實施例中,使用含有大約0.1至5.0體積%HF的酸溶液來清潔由石英製成的腔室組件。在一個實施例中,使用含有大約0.1至20體積%HCl的酸溶液來清潔由Al 2O 3製成的製品。在一個實施例中,使用含有大約5至15體積%HNO 3的酸溶液來清潔由鋁和其它金屬製成的製品。
在框510處,在去離子水中漂洗製品。在漂洗期間可以通過向去離子水施加超音波來攪動去離子水,以幫助從製品去除顆粒。然後通過向製品施加熱量來乾燥製品(例如,通過將製品加熱至約120至300℃的溫度達10分鐘至3小時)。
在框511處,可以執行ALD以在製品的表面上和製品中的高深寬比特徵的壁上形成保形的應力釋放層。 該保形的應力釋放層還可以覆蓋製品的背側和製品的外側壁。保形的應力釋放層可以是Al 2O 3或另一種非晶材料。優選地,保形的應力釋放層具有熱膨脹係數(CTE),該CTE接近製品的CTE或在製品的CTE與稍後沉積的保形的第一抗電漿層的CTE之間。在實施例中,保形的應力釋放層可以被沉積至約100奈米至約10微米的厚度。在其他實施例中,保形的應力釋放層可以更薄,並且可以具有約10奈米至約200nm的厚度。保形的應力釋放層的一些可能的厚度為10奈米、20奈米、50奈米、80奈米、100奈米、150奈米、200奈米、300奈米、400奈米、500奈米、600奈米、700奈米、800奈米、900奈米和1微米。
在框512處,執行電鍍、無電鍍覆或ALD以在製品的表面上和製品的高深寬比特徵的壁上形成保形的第一抗電漿層(例如,具有3:1、5:1、10:1、20:1、50:1、100:1、200:1、300:1等等的深寬比的特徵)。第一抗電漿層還可以覆蓋製品的背側和製品的外側壁。ALD、無電鍍覆和電鍍是能夠塗覆製品的高深寬比特徵的非現場線沉積製程。在一些實施例中,製品可不具有高深寬比特徵,並且鍍覆或ALD在製品的表面上形成保形的第一抗電漿層。在實施例中,第一抗電漿層可以被沉積至約100奈米至約10微米的厚度。在其他實施例中,第一抗電漿層可以更薄,並且可以具有約10奈米至約200nm的厚度。第一抗電漿層的一些可能的厚度為10奈米、20奈米、50奈米、80奈米、100奈米、150奈米、200奈米、300奈米、400奈米、500奈米、600奈米、700奈米、800奈米、900奈米和1微米。如果執行鍍覆,則第一抗電漿層可以由Ni構成。如果執行ALD,則第一抗電漿層可以由Y 2O 3、Al 2O 3、Er 2O 3和/或YF 3構成。如果執行ALD,則第一抗電漿層可附加地包括Y 3Al 5O 12、Er 3Al 5O 12、Y-O-F(例如,Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物。第一抗電漿層可具有實際上0%的孔隙率。
參考Y 2O 3-ZrO 2的固溶體,第一抗電漿層可以包括濃度為10至90摩爾比(摩爾%)的Y 2O 3和濃度為10至90摩爾%的ZrO 2。在一些示例中,Y 2O 3-ZrO 2的固溶體可包括10至20摩爾% Y 2O 3和80至90摩爾% ZrO 2,可包括20至30摩爾% Y 2O 3和70至80摩爾% ZrO 2,可包括30至40摩爾% Y 2O 3和60至70摩爾% ZrO 2,可包括40至50摩爾% Y 2O 3和50至60摩爾% ZrO 2,可包括60至70摩爾% Y 2O 3和30至40摩爾% ZrO 2,可包括70至80摩爾% Y 2O 3和20至30摩爾% ZrO 2,可包括80至90摩爾% Y 2O 3和10至20摩爾% ZrO 2,等等。
參考包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物,在一個實施例中,該陶瓷化合物包括62.93摩爾比(摩爾%)的Y 2O 3,23.23摩爾%的ZrO 2和13.94摩爾%的Al 2O 3。在另一個實施例中,陶瓷化合物可包括50至75摩爾%範圍內的Y 2O 3、10至30摩爾%範圍內的ZrO 2和10至30摩爾%範圍內的Al 2O 3。在另一個實施例中,陶瓷化合物可包括40至100摩爾%範圍內的Y 2O 3、0.1至60摩爾%範圍內的ZrO 2和0.1至10摩爾%範圍內的Al 2O 3。在另一個實施例中,陶瓷化合物可包括40至60摩爾%範圍內的Y 2O 3、30至50摩爾%範圍內的ZrO 2和10至20摩爾%範圍內的Al 2O 3。在另一個實施例中,陶瓷化合物可包括40至50摩爾%範圍內的Y 2O 3、20至40摩爾%範圍內的ZrO 2和20至40摩爾%範圍內的Al 2O 3。在另一個實施例中,陶瓷化合物可包括70-90摩爾%範圍內的Y 2O 3、0.1至20摩爾%範圍內的ZrO 2和10至20摩爾%範圍內的Al 2O 3。在另一個實施例中,陶瓷化合物可包括60至80摩爾%範圍內的Y 2O 3、0.1至10摩爾%範圍內的ZrO 2和20至40摩爾%範圍內的Al 2O 3。在另一個實施例中,陶瓷化合物可包括40至60摩爾%範圍內的Y 2O 3、0.1至20摩爾%範圍內的ZrO 2和30至40摩爾%範圍內的Al 2O 3。在其他實施例中,其他分配也可以用於陶瓷化合物。
在一個實施例中,第一抗電漿層包括通過ALD形成的交替的稀土氧化物(例如Y 2O 3)和另一種金屬氧化物(例如Al 2O 3)的子層。在一些實施例中,稀土氧化物的子層可以比附加金屬的子層厚大約2至12倍。稀土氧化物子層與附加的金屬氧化物子層的一些示例厚度比包括2:1、3:1、4:1、5:1、8:1、10:1和12:1。在一些實施例中,使用約5至12個迴圈的ALD製程來形成稀土金屬氧化物的子層,其中每個迴圈形成含稀土金屬的氧化物的奈米層(或比奈米層略微更少或更多)。每層其它金屬氧化物可以由單個ALD迴圈(或幾個ALD迴圈)形成,並且可以具有小於一個原子到幾個原子的厚度。在實施例中,含稀土金屬的氧化物的層可以各自具有約5至100埃的厚度,並且附加金屬氧化物的層可以各自具有約1至20埃的厚度。在實施例中,附加金屬氧化物子層防止稀土氧化物子層變成結晶。因為附加金屬氧化物子層,稀土氧化物子層保持為多晶態。
第一抗電漿層是高度保形層。結果,第一抗電漿層的平均表面粗糙度與被塗覆的製品的表面的表面粗糙度近似相同(或幾乎相同)。相應地,製品的被粗糙化的區域處的第一抗電漿層的表面粗糙度具有約200至300微吋(或通過粗糙化製程獲得的其他表面粗糙度)的表面粗糙度。第一抗電漿層並不依賴製品的表面粗糙度來黏附到製品上。然而,稍後沉積的第二抗電漿層可能依賴表面粗糙度來黏附。發現使第一抗電漿層的表面粗糙化,這導致製品的更短壽命並且在一些情況下導致損壞的第一抗電漿層。因此,通過在沉積第一抗電漿層之前首先使製品粗糙化並且對第一抗電漿層使用高度保形沉積製程,第一抗電漿層的表面可具有增大的表面粗糙度,而無需對第一抗電漿層執行任何表面粗糙化。
在框514處,製品在去離子水中被漂洗,且然後被乾燥,類似於框510的過程。可以通過在漂洗期間向去離子水施加超音波來攪拌去離子水。在鍍覆或ALD之後可以不執行酸清潔以免損壞第一抗電漿層。
在框516處,將第二掩模施加到製品。第二掩模可以覆蓋製品的由第一掩模覆蓋的那些部分並且可以暴露製品的由第一掩模暴露的相同區域。例如,第二掩模可以覆蓋製品的高深寬比特徵,諸如終止於製品的表面的孔(例如,氣體導管)。
在框518處,執行電漿噴塗製程或氣溶膠沉積製程以在製品的未掩蔽區域處的第一抗電漿層上面形成第二抗電漿層。第二抗電漿層可具有約4至20密耳(例如5密耳、6密耳、7密耳、8密耳、9密耳等)的厚度。第二抗電漿層可以由Y 2O 3、Al 2O 3、Er 2O 3、Y 3Al 5O 12、Y 4Al 2O 9、Y 2O 3、Al 2O 3、YF 3、Er 3Al 5O 12、Y-O-F(例如Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或者包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物構成。第二抗電漿層可具有約1至5%的孔隙率。
在框520處,將第二掩模從製品移除。在框522處,製品可以使用去離子水來漂洗,且然後被乾燥。可以通過在漂洗期間向去離子水施加超音波來攪拌去離子水。在電漿噴塗或氣溶膠沉積製程之後可不進行酸清潔,以免損壞第一抗電漿層或第二抗電漿層。對於具有包括第一和第二抗電漿層的多層塗層的製品,可以實現至少500伏特/密耳的擊穿電壓。在一個實施例中,擊穿電壓是500至1000伏特/密耳。
在框524處,可執行ALD以在第二抗電漿層上和第一抗電漿層上形成保形的第三抗電漿層。第三抗電漿層可以覆蓋製品的由第二抗電漿層覆蓋的區域處的第二抗電漿層。在未被第二抗電漿層覆蓋的附加區域(例如,諸如孔的高深寬比特徵的壁、製品的背側等)處,第三抗電漿層覆蓋第一抗電漿層。第三抗電漿層可以由Y 2O 3、Al 2O 3、Er 2O 3、Y 3Al 5O 12、Y 4Al 2O 9、Y 2O 3、Al 2O 3、YF 3、Er 3Al 5O 12、Y-O-F(例如Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或者包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物構成。在實施例中,第三抗電漿層可以具有與第一抗電漿層相同的材料特性。例如,第三抗電漿層可以具有小於1%(例如,小於0.1%或0%)的孔隙率,並且可以具有與第一抗電漿層相同的抗電漿特性。第三抗電漿層可以用作填充第二抗電漿層中的孔隙和裂縫並且在第二抗電漿層的顆粒和/或其他表面缺陷中密封的蓋層。在實施例中,第三抗電漿層可以具有約100奈米至約1微米的厚度。對於具有包括第一、第二和第三抗電漿層的多層塗層的製品,可以實現至少500伏特/密耳的擊穿電壓。在一個實施例中,擊穿電壓是500至1000伏特/密耳。
可替代地,可以進行鍍覆以形成鎳的保形的第三抗電漿層。
6 示出了用於在腔室組件上形成多層抗電漿塗層的製程600的另一實施例。 製程600類似於製程500,除了執行物理氣相沉積(PVD)、IAD(例如EB-IAD)或PE-CVD中的一者以形成第二抗電漿層而非電漿噴塗或氣溶膠沉積。製程600可以使用 4 的製造機器401中的一個或多個來執行。
在製程600的框602處,可以將掩模施加到製品的表面。製品可以是本文討論的任何製品,諸如用於處理腔室的噴頭或其他腔室組件。掩模可以暴露製品的表面的將被粗糙化的區域並且可以覆蓋製品的將不會被粗糙化的部分。當製品安裝在處理腔室中並且處理腔室在使用中時,製品的將被粗糙化的區域可以是直接暴露於電漿的區域。在框604處,可執行粗糙化製程,諸如珠粒噴爆,以使製品的表面的暴露區域粗糙化。珠粒噴爆可以移除製品上的表面缺陷和/或凹痕。
在框606處,可將掩模從製品移除。在框608處,如參考製程500的框508所述,可以在酸性溶液中清潔製品。在框510處,可在去離子水中漂洗製品。在漂洗期間可以通過向去離子水施加超音波來攪動去離子水,以幫助從製品去除顆粒。然後通過向製品施加熱量來乾燥製品。
在一些實施例中,框602至610的操作可以被省略。具體而言,諸如ALD、鍍覆、EB-IAD和PE-CVD的沉積製程可不依賴增大的表面粗糙度來改善黏附。因此,框602至610的操作可以被省略以實現簡化的製程。在一些實施例中,框602被跳過並且製品被拋光而不是在框604處被粗糙化。更光滑的表面對於諸如EB-IAD的一些沉積製程可能是有益的,並且還可以導致增大的擊穿電壓。增大的擊穿電壓對於一些腔室組件(諸如噴頭和靜電夾盤)可能是有益的。
在框612處,執行鍍覆或ALD以在製品的表面上和製品的高深寬比特徵的壁上形成保形的第一抗電漿層(例如,具有3:1、5:1、10:1、20:1、50:1、100:1、200:1、300:1等等的深寬比的特徵)。框612的操作可以與方法500的框512的操作相同,並且第一抗電漿層可以具有用於第一抗電漿層的上述成分中的任一者。
在框614處,製品在去離子水中被漂洗且隨後被乾燥。可以通過在漂洗期間向去離子水施加超音波來攪拌去離子水。在鍍覆或ALD之後可以不執行酸清潔以免損壞第一抗電漿層。
在框616處,可將掩模施加到製品。掩模可以覆蓋製品的由第一掩模覆蓋的那些部分並且可以暴露製品的由第一掩模暴露的相同區域。例如,掩模可以覆蓋製品的高深寬比特徵,諸如終止於製品的表面的孔(例如,氣體導管)。 可替代地,框616的操作可以被跳過,並且可以不施加掩模。(在框618處施加的)第二抗電漿層可具有將不會導致製品中的孔被堵塞的厚度。相應地,在一些實施例中,在第二抗電漿層的沉積之前可以不施加掩模。
在框618處,執行PVD製程、IAD製程(例如EB-IAD製程)或PE-CVD製程以在製品的未掩蔽區域處的第一抗電漿層上面(或如果製品沒有被遮蔽的話,則在製品的表面處)形成第二抗電漿層。第二抗電漿層可具有約1至10微米的厚度(例如,2微米、3微米、4微米、5微米、6微米、7微米、8微米、9微米等)。第二抗電漿層可以由Y 2O 3、Al 2O 3、Er 2O 3、Y 3Al 5O 12、Y 4Al 2O 9、Y 2O 3、Al 2O 3、YF 3、Er 3Al 5O 12、Y-O-F(例如Y 5O 4F 7)、Y 2O 3-ZrO 2的固溶體、或者包括Y 4Al 2O 9和Y 2O 3-ZrO 2的固溶體的陶瓷化合物構成。第二抗電漿層可具有小於1%、小於0.1%或基本上0%的孔隙率。然而,在一些情況下,第二抗電漿層可具有垂直裂縫。
在框620處,可將掩模從製品移除(如果使用掩模的話)。在框622處,製品可以使用去離子水來漂洗,且然後被乾燥。可以通過在漂洗期間向去離子水施加超音波來攪拌去離子水。對於具有包括第一和第二抗電漿層的多層塗層的製品,可以實現至少500伏特/密耳的擊穿電壓。在一個實施例中,擊穿電壓是1000至1500伏特/密耳。
7 是根據一個實施例的具有塗層架構的製品的透射電子能譜(TEM)圖像700,該塗層架構包括第一薄保形層710、第二薄保形層715和厚抗電漿層720。在所示出的實施例中,製品705(例如,諸如噴頭的塗覆的腔室組件)是鋁。第一薄保形層710是通過原子層沉積(ALD)形成的非晶Al 2O 3塗層。第二薄保形層715是抗電漿層,其包括通過ALD形成的交替的Y 2O 3子層和Al 2O 3子層的交替疊層。每個Y 2O 3子層通過10個Y 2O 3ALD沉積的迴圈而形成,並且每個Al 2O 3子層通過1個Al 2O 3ALD沉積的迴圈而形成。在實施例中,Al 2O 3子層防止Y 2O 3子層變成結晶。因為Al 2O 3子層,Y 2O 3子層保持為多晶態。
厚抗電漿層720是電漿噴塗的Y 2O 3塗層。如圖所示,如果執行電漿噴塗以產生厚抗電漿層720,則來自厚抗電漿層720的動能可導致第一薄保形層710和第二薄保形層715在厚抗電漿層720的沉積製程期間開裂。然而,第一薄保形層710和第二薄保形層715僅在它們被厚抗電漿層720撞擊(並且因此被其覆蓋)的位置處具有開裂的可能性。第一薄保形層710和第二薄保形層715的未被厚抗電漿層720覆蓋(例如,不在電漿噴槍的噴嘴的現場線中的特徵,諸如噴頭的氣體輸送孔)的區域不會開裂。相應地,在厚抗電漿層720下的第一薄保形層710和第二薄保形層715的開裂不會不利地影響塗層架構的電漿抗性。此外,氣溶膠沉積不會造成第一薄保形層710的開裂。相應地,氣溶膠沉積可以用於厚抗電漿層720以避免第一薄抗電漿層710中的開裂。
8 是根據一個實施例的具有塗層架構的製品的另一TEM圖像800,該塗層架構包括製品805上的第一薄保形層810、第二薄保形層815和厚抗電漿層820。第一薄保形層810、第二薄保形層815、厚抗電漿層820和製品805可對應於 7 的類似標記的第一薄保形層710、第二薄保形層715、厚抗電漿層720和製品705。 9 8 所示製品的能量色散光譜儀(EDS)線掃描。在所示出的示例中,基板是Al 6061,第一薄保形層805具有25奈米的厚度,第二薄保形層810具有100奈米的厚度,並且厚抗電漿層820具有8密耳的厚度。
10 是根據一個實施例的具有塗層架構的製品的另一TEM圖像1000,該塗層架構包括製品1005上的薄保形層1010和抗電漿層1015。 11 10 所示製品的EDS線掃描。在所示出的示例中,製品1005是鋁製品,薄保形層1010是通過ALD沉積的Y 2O 3塗層並且具有約480至500奈米的厚度,並且抗電漿層1015是氣溶膠沉積塗層。EDS線掃描將抗電漿層1015顯示為具有約120奈米的厚度。然而,如 10 所示,抗電漿層1015可以具有遠大於薄保形層1010厚度的厚度(例如,約8密耳的厚度)。如圖所示,當通過氣溶膠沉積來沉積抗電漿層1015時,薄保形層1010不會開裂。
前面的描述闡述了許多具體細節,諸如具體系統、部件、方法等的示例,以便提供對本公開的若干實施例的良好理解。然而,對本領域技術人員而言,將顯而易見的是,本公開的至少一些實施例可在沒有這些具體細節的情況下實踐。在其它情況下,公知的部件或方法沒有被詳細描述或者以簡單的框圖形式呈現以免不必要地模糊本公開。因此,所闡述的這些具體細節僅僅是示例性的。特定實現可從這些示例性細節變化而來並且仍被視為在本公開的範圍內。
縱觀本說明書,對「一個實施例」或「一實施例」的引用意味著聯繫該實施例而描述的特定特徵、結構、或特性被包括在至少一個實施例中。因此,縱觀本說明書,短語「在一個實施例中」或「在一實施例中」在多個位置的出現不一定全部指同一實施例。另外,術語「或」旨在表示包含性「或」而非排除性「或」。
雖然本文以特定順序示出和描述方法的操作,但每種方法的操作的順序可被改變使得某些操作可以以相反的循序執行或者使得某個操作可與其他操作至少部分同時地執行。在另一實施例中,不同操作的指令或子操作可以按照間歇和/或交替的方式。
要理解的是,上面的描述旨在是說明性的,而非限制性的。對本領域技術人員而言,在閱讀和理解上面的描述後,許多其他實施例將是顯而易見的。因此,本公開的範圍應當參考所附申請專利範圍以及此類申請專利範圍的等同技術方案的全部範圍來決定。
100‧‧‧處理腔室
102‧‧‧腔室主體
106‧‧‧內部容積
108‧‧‧側壁
110‧‧‧底部
116‧‧‧外襯墊
126‧‧‧排放口
128‧‧‧泵系統
130‧‧‧噴頭
132‧‧‧氣體輸送孔
144‧‧‧基板
148‧‧‧基板支撐元件
150‧‧‧第一抗電漿層
152‧‧‧第二抗電漿層
158‧‧‧氣體面板
200‧‧‧噴頭
204‧‧‧氣體導管
205‧‧‧下表面
301‧‧‧噴頭
302‧‧‧下表面
304‧‧‧氣體導管
320‧‧‧第一抗電漿層
322‧‧‧第二抗電漿層
327‧‧‧第三抗電漿層
340‧‧‧直接電漿暴露
400‧‧‧製造系統
401‧‧‧製造機器
402‧‧‧珠粒噴爆器
403‧‧‧濕式清潔器
404‧‧‧電漿噴塗系統
405‧‧‧原子層沉積(ALD)系統
406‧‧‧EB-IAD系統
407‧‧‧PE-CVD系統
408‧‧‧電鍍系統
415‧‧‧裝備自動化層
420‧‧‧計算裝置
425‧‧‧配方
500‧‧‧製程
502-524‧‧‧框
600‧‧‧製程
602-622‧‧‧框
700‧‧‧透射電子能譜(TEM)圖像
705‧‧‧製品
710‧‧‧第一薄保形層
715‧‧‧第二薄保形層
720‧‧‧厚抗電漿層
800‧‧‧TEM圖像
805‧‧‧製品
810‧‧‧第一薄保形層
815‧‧‧第二薄保形層
820‧‧‧厚抗電漿層
1000‧‧‧TEM圖像
1005‧‧‧製品
1010‧‧‧薄保形層
1015‧‧‧抗電漿層
在附圖的各圖中,本發明通過示例方式而不是通過限制方式來闡述,在該附圖的各圖中,類同的附圖標記指示類同的要素。應當注意,在本公開中,對「一」或「一個」實施例的不同引用未必是針對相同實施例,且此類引用意味著至少一個。
1A 描繪了處理腔室的一個實施例的剖視圖。
1B 描繪了用於處理腔室的噴頭的一個實施例的剖視圖。
2 示出了用於處理腔室的噴頭的底部的透視圖。
3 是用於處理腔室的噴頭的氣體導管的一個實施例的剖視圖。
4 示出了根據本創作的實施例的製造系統的示例架構。
5 示出了用於在腔室組件上形成多層抗電漿塗層的製程的一個實施例。
6 示出了用於在腔室組件上形成多層抗電漿塗層的製程的另一實施例。
7 是根據一個實施例的具有塗層架構的製品的透射電子能譜(TEM)圖像,該塗層架構包括薄保形應力釋放層、薄保形抗電漿層和厚抗電漿層。
8 是根據一個實施例的具有塗層架構的製品的TEM圖像,該塗層架構包括薄保形應力釋放層、薄保形抗電漿層和厚抗電漿層。
9 8 所示製品的能量色散光譜儀(EDS)線掃描。
10 是根據一個實施例的具有塗層架構的製品的另一TEM圖像,該塗層架構包括製品上的薄保形層和抗電漿層。
11 10 所示製品的EDS線掃描。

Claims (13)

  1. 一種具有多層電漿腐蝕保護的製品,包括:一主體,該主體包括一表面和該主體中的多個高深寬比特徵,該多個高深寬比特徵具有約1:1至約300:1的一深寬比;一保形的第一抗電漿層,在該表面上和該多個高深寬比特徵的壁上,該第一抗電漿層具有大約0%的一孔隙率和大約100奈米至大約1微米的一厚度;以及一第二抗電漿層,該第二抗電漿層覆蓋該表面的一區域處的該保形的第一抗電漿層,但不覆蓋該多個高深寬比特徵的該壁處的該保形的第一抗電漿層,該第二抗電漿層具有小於1%的一孔隙率和大約1至10微米的一厚度。
  2. 如請求項1所述的製品,其中該製品是用於一電漿蝕刻反應器的一腔室組件,該製品包括一金屬或一燒結陶瓷中的至少一者。
  3. 如請求項1所述的製品,其中該第一抗電漿層是一原子層沉積(ALD)塗層,該原子層沉積(ALD)塗層選自由下列所組成的群組:Y2O3、Al2O3、Y3Al5O12、Er3Al5O12、Y5O4F7和YF3
  4. 如請求項1所述的製品,其中該第一抗電漿層是包括Ni的一鍍覆塗層。
  5. 如請求項1所述的製品,其中該第二抗電漿層是一保形的抗電漿層,該保形的抗電漿層選自由下列所組成的群組:Y3Al5O12、Y2O3、Al2O3、Er3Al5O12、Y5O4F7和YF3
  6. 如請求項1所述的製品,其中該製品是用於一處理腔室的一噴頭,並且其中該多個高深寬比特徵是該噴頭中的多個孔。
  7. 如請求項1所述的製品,其中包括該第一抗電漿層和該第二抗電漿層的該製品的一擊穿電壓為大約1000至1500伏特/密耳。
  8. 一種具有多層電漿腐蝕保護的製品,包括:一主體,該主體包括一表面和該主體中的多個高深寬比特徵,其中該多個高深寬比特徵具有約1:1至約300:1的一深寬比,並且其中該表面的一區域具有大約200至300微吋的一表面粗糙度;一保形的第一抗電漿層,在該表面上和該多個高深寬比特徵的壁上,該第一抗電漿層具有大約0%的一孔隙率和大約100奈米至大約10微米的一厚度,其中該保形的第一抗電漿層的一表面具有一表面粗糙度,該表面粗糙度基於該表面的該區域處的表面粗糙度;以及一第二抗電漿層,該第二抗電漿層覆蓋該表面的該區域處的該保形的第一抗電漿層但不覆蓋該多個高深寬比特徵的該壁處的該保形的第一抗電漿層,該第二抗電漿層具有大約1至5%的一孔隙率和大約4至20密耳的一厚度,其中該保形的第一抗電漿層的該表面粗糙度利於該第二抗電漿層黏附到該保形的第一抗電漿層。
  9. 如請求項8所述的製品,進一步包括:一保形的第三抗電漿層,該保形的第三抗電漿層覆蓋該表面的該區域處的該第二抗電漿層並且覆蓋該多個高深寬比特徵的該壁處的該保形的第一抗電漿層,該保形的第三抗電漿層具有大約100奈米至大約10微米的一厚度和大約0%的一孔隙率,其中該保形的第三抗電漿層選自由下列所組成的群組:Y2O3、Al2O3、Y3Al5O12、Er3Al5O12、Y5O4F7和YF3
  10. 如請求項8所述的製品,其中該第一抗電漿層是一原子層沉積(ALD)塗層,該原子層沉積(ALD)塗層選自由下列所組成的群組:Y2O3、Al2O3、Y3Al5O12、Er3Al5O12、Y5O4F7和YF3
  11. 如請求項8所述的製品,其中該第一抗電漿層是包括Ni的一鍍覆塗層。
  12. 如請求項8所述的製品,其中該第二抗電漿層選自由下列所組成的群組:Y3Al5O12、Y2O3、Al2O3、Er3Al5O12、Y5O4F7和YF3
  13. 如請求項8所述的製品,其中該保形的第一抗電漿層的該表面具有大約200至300微吋的表面粗糙度。
TW107206105U 2017-05-10 2018-05-10 具有多層電漿腐蝕保護的製品 TWM574331U (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762504471P 2017-05-10 2017-05-10
US62/504,471 2017-05-10
US15/965,794 US10755900B2 (en) 2017-05-10 2018-04-27 Multi-layer plasma erosion protection for chamber components
US15/965,794 2018-04-27

Publications (1)

Publication Number Publication Date
TWM574331U true TWM574331U (zh) 2019-02-11

Family

ID=64097956

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107115900A TWI753163B (zh) 2017-05-10 2018-05-10 用於腔室組件之多層電漿腐蝕保護
TW107206105U TWM574331U (zh) 2017-05-10 2018-05-10 具有多層電漿腐蝕保護的製品

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107115900A TWI753163B (zh) 2017-05-10 2018-05-10 用於腔室組件之多層電漿腐蝕保護

Country Status (5)

Country Link
US (1) US10755900B2 (zh)
JP (1) JP6976215B2 (zh)
KR (1) KR102341307B1 (zh)
CN (2) CN108878246B (zh)
TW (2) TWI753163B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI784577B (zh) * 2020-08-14 2022-11-21 大陸商中微半導體設備(上海)股份有限公司 半導體零部件、複合塗層形成方法和等離子體反應裝置

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11124659B2 (en) * 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7159074B2 (ja) * 2019-02-08 2022-10-24 キオクシア株式会社 ガス供給部材、プラズマ処理装置、及びコーティング膜の形成方法
CN109877012A (zh) * 2019-02-09 2019-06-14 沈阳富创精密设备有限公司 一种制备高致密氧化钇涂层的方法
KR20210125103A (ko) * 2019-03-05 2021-10-15 램 리써치 코포레이션 플라즈마 프로세싱 챔버들을 위한 알루미늄 컴포넌트들을 위한 라미네이트된 에어로졸 증착 코팅
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN110055529A (zh) * 2019-04-01 2019-07-26 沈阳富创精密设备有限公司 一种制备双层陶瓷层的方法
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
KR20210017826A (ko) 2019-08-09 2021-02-17 박준현 강아지 안정을 위한 스피커
CN110331362A (zh) * 2019-08-21 2019-10-15 重庆臻宝实业有限公司 抗等离子体腐蚀的复合涂层及制备方法
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11424140B2 (en) 2019-10-10 2022-08-23 Samsung Electronics Co., Ltd. Member, method of manufacturing the same, apparatus for manufacturing the same, and semiconductor manufacturing apparatus
CN113594013B (zh) * 2020-04-30 2024-01-26 中微半导体设备(上海)股份有限公司 零部件、其形成涂层的方法及装置和等离子体反应装置
TW202147492A (zh) * 2020-06-03 2021-12-16 荷蘭商Asm Ip私人控股有限公司 噴淋板、基板處理裝置、基板處理方法
JP2023533441A (ja) * 2020-06-23 2023-08-03 ラム リサーチ コーポレーション 原子層堆積を用いるプラズマエッチングツール内で用いられる構成要素の表面の密封
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
KR20220067696A (ko) * 2020-11-18 2022-05-25 (주)포인트엔지니어링 가스 공급부재 및 이를 구비한 기판처리장치
KR102626584B1 (ko) * 2020-12-24 2024-01-18 도카로 가부시키가이샤 정전 척 및 처리 장치
EP4308746A1 (en) * 2021-03-19 2024-01-24 Entegris, Inc. Substrate with fluorinated yttrium coatings, and methods of preparing and using the substrates
US20220341018A1 (en) * 2021-04-21 2022-10-27 Toto Ltd. Semiconductor manufacturing apparatus member and semiconductor manufacturing apparatus
JP7154517B1 (ja) * 2022-02-18 2022-10-18 Agc株式会社 イットリウム質保護膜およびその製造方法ならびに部材
US20230287564A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
CN115478249B (zh) * 2022-09-20 2024-03-05 宣城开盛新能源科技有限公司 一种铜铟镓硒溅射用的防着板及其制备方法和重复使用方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3761040B2 (ja) * 1995-06-26 2006-03-29 株式会社アルバック 真空装置用構造材料および真空装置用構造部材
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP3850277B2 (ja) * 2001-12-03 2006-11-29 東芝セラミックス株式会社 耐プラズマ性部材の製造方法
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4151308B2 (ja) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
JP4260450B2 (ja) * 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
KR100918528B1 (ko) 2003-03-31 2009-09-21 도쿄엘렉트론가부시키가이샤 처리부재 상에 인접한 코팅을 결합시키는 방법
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
KR101172334B1 (ko) * 2003-12-26 2012-08-14 고에키자이단호진 고쿠사이카가쿠 신고우자이단 샤워 플레이트, 플라즈마 처리 장치, 및 제품의 제조방법
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
CN101207002A (zh) * 2006-12-22 2008-06-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体刻蚀设备中零件的表面处理方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
JP5227197B2 (ja) * 2008-06-19 2013-07-03 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
EP2413349A4 (en) * 2009-03-24 2015-11-25 Toray Industries PLASMA PROCESSING DEVICE AND METHOD FOR MANUFACTURING AMORPHOUS SILICON THIN LAYER USING THE SAME
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP5678883B2 (ja) * 2009-11-02 2015-03-04 東レ株式会社 プラズマcvd装置、および、シリコン薄膜の製造方法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
US9068265B2 (en) * 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US20120216955A1 (en) * 2011-02-25 2012-08-30 Toshiba Materials Co., Ltd. Plasma processing apparatus
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
JP5782293B2 (ja) * 2011-05-10 2015-09-24 東京エレクトロン株式会社 プラズマ生成用電極およびプラズマ処理装置
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
JP6097499B2 (ja) * 2012-07-20 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置用部品及びプラズマ処理装置
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9337002B2 (en) * 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN104715993B (zh) * 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9275840B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
CN106104775B (zh) * 2014-01-31 2019-05-21 应用材料公司 腔室涂层
WO2015151857A1 (ja) 2014-03-31 2015-10-08 株式会社東芝 耐プラズマ部品及び耐プラズマ部品の製造方法及び耐プラズマ部品の製造に用いる膜堆積装置
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9431221B2 (en) * 2014-07-08 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
US20200087788A1 (en) * 2018-09-17 2020-03-19 Applied Materials, Inc. Multiple channel showerheads

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI784577B (zh) * 2020-08-14 2022-11-21 大陸商中微半導體設備(上海)股份有限公司 半導體零部件、複合塗層形成方法和等離子體反應裝置

Also Published As

Publication number Publication date
CN108878246B (zh) 2023-02-28
CN209104115U (zh) 2019-07-12
JP6976215B2 (ja) 2021-12-08
KR102341307B1 (ko) 2021-12-17
KR20180123983A (ko) 2018-11-20
US10755900B2 (en) 2020-08-25
US20180330923A1 (en) 2018-11-15
CN108878246A (zh) 2018-11-23
TWI753163B (zh) 2022-01-21
JP2018190983A (ja) 2018-11-29
TW201903206A (zh) 2019-01-16

Similar Documents

Publication Publication Date Title
TWI753163B (zh) 用於腔室組件之多層電漿腐蝕保護
US11578398B2 (en) Plasma spray coating design using phase and stress control
US11587771B2 (en) Chemistry compatible coating material for advanced device on-wafer particle performance
US20180366302A1 (en) Coating architecture for plasma sprayed chamber components
US9394615B2 (en) Plasma resistant ceramic coated conductive article
CN106133885B (zh) 用于高温应用的耐等离子体腐蚀的薄膜涂层
US20190330742A1 (en) Cold spray coating with sacrificial filler powder
US20240240304A1 (en) Metal oxy-fluoride coating for chamber components and method of coating thereof
US20230287568A1 (en) ADVANCED BARRIER NICKEL OXIDE (BNiO) COATING DEVELOPMENT FOR PROCESS CHAMBER COMPONENTS VIA OZONE TREATMENT
US20230103643A1 (en) ADVANCED BARRIER NICKEL OXIDE (BNiO) COATING DEVELOPMENT FOR THE PROCESS CHAMBER COMPONENTS