JP2015173240A - エッチング方法 - Google Patents

エッチング方法 Download PDF

Info

Publication number
JP2015173240A
JP2015173240A JP2014136543A JP2014136543A JP2015173240A JP 2015173240 A JP2015173240 A JP 2015173240A JP 2014136543 A JP2014136543 A JP 2014136543A JP 2014136543 A JP2014136543 A JP 2014136543A JP 2015173240 A JP2015173240 A JP 2015173240A
Authority
JP
Japan
Prior art keywords
region
gas
etching
fluorocarbon
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014136543A
Other languages
English (en)
Other versions
JP6396699B2 (ja
Inventor
圭二 北垣内
Keiji Kitakakiuchi
圭二 北垣内
隆幸 勝沼
Takayuki Katsunuma
隆幸 勝沼
昌伸 本田
Masanobu Honda
昌伸 本田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014136543A priority Critical patent/JP6396699B2/ja
Priority to CN201510080883.6A priority patent/CN104867827B/zh
Priority to KR1020150024040A priority patent/KR102356211B1/ko
Priority to TW104105528A priority patent/TWI657502B/zh
Priority to US14/626,022 priority patent/US9396962B2/en
Priority to EP15155980.4A priority patent/EP2911187A1/en
Publication of JP2015173240A publication Critical patent/JP2015173240A/ja
Application granted granted Critical
Publication of JP6396699B2 publication Critical patent/JP6396699B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

【課題】酸化シリコンから構成された領域をエッチングする方法が提供される。【解決手段】一実施形態の方法は、酸化シリコンから構成された領域を有する被処理体をフルオロカーボンガスを含む処理ガスのプラズマに晒す工程(a)であり、該領域をエッチングし、且つ該領域上にフルオロカーボンを含む堆積物を形成する、該工程(a)と、前記堆積物に含まれるフルオロカーボンのラジカルにより前記領域をエッチングする工程(b)と、を含み、該方法では、工程(a)と工程(b)とが交互に繰り返される。【選択図】図1

Description

本発明の実施形態は、エッチング方法に関するものである。
電子デバイスの製造においては、絶縁層であるシリコン酸化膜に対してホールやトレンチ等をエッチングによって形成する処理が行われることがある。シリコン酸化膜のエッチングでは、米国特許第7708859号明細書に記載されているように、一般的には、フルオロカーボンガスのプラズマに被処理体が晒されることにより、シリコン酸化膜がエッチングされる。
フルオロカーボンガスのプラズマを用いたエッチングでは、フッ素の活性種によってシリコン酸化膜がエッチングされる。また、当該エッチングでは、フルオロカーボンがシリコン酸化膜に付着して堆積物を形成する。
米国特許第7708859号明細書
上述したシリコン酸化膜のエッチングでは、堆積物の膜厚が序々に増加する。堆積物の膜厚が大きくなると、シリコン酸化膜をエッチングし得る活性種がシリコン酸化膜に到達することが阻害される。これにより、シリコン酸化膜のエッチングが途中で進行しなくなる。結果的に、シリコン酸化膜のエッチングレートが低下することになる。
したがって、シリコン酸化膜のエッチングを継続して行うために新しいエッチング手法が必要となっている。
一側面においては、酸化シリコンから構成された領域をエッチングする方法が提供される。この方法は、(a)酸化シリコンから構成された領域を有する被処理体をフルオロカーボンガスを含む処理ガスのプラズマに晒す工程であり、該領域をエッチングし、且つ該領域上にフルオロカーボンを含む堆積物を形成する、該工程(以下、「工程(a)という)と、(b)前記堆積物に含まれるフルオロカーボンのラジカルにより前記領域をエッチングする工程(以下、「工程(b)」という)と、を含み、該方法では、工程(a)と工程(b)とが交互に繰り返される。
この方法では、工程(a)において生成されるフルオロカーボンガスのプラズマによって酸化シリコンから構成された領域がエッチングされ、当該領域上に堆積物が形成される。次いで、工程(b)において、堆積物に含まれるフルオロカーボンのラジカルを用いて前記領域が更にエッチングされる。また、この工程(b)では、堆積物の量が減少する、したがって、更に工程(a)を行うことにより、第1領域のエッチングが更に進行する。かかる工程(a)及び工程(b)が交互に繰り返されることにより、前記領域、即ちシリコン酸化膜のエッチングの停止を防止することが可能となる。その結果、シリコン酸化膜のエッチングを継続して行うことが可能となる。
一形態の工程(b)では、希ガスのプラズマに被処理体が晒されてもよい。即ち、希ガスのプラズマに堆積物が晒されてもよい。この形態の方法では、希ガス原子のイオンが堆積物に衝突することにより、当該堆積物中のフルオロカーボンラジカルが前記領域をエッチングする。なお、一形態の工程(b)においては、フルオロカーボンガスが実質的に供給されなくてもよい。
また、一形態において、被処理体は窒化シリコンから構成された別の領域を更に有し得る。この形態によれば、窒化シリコンから構成された別の領域に対して酸化シリコンから構成された領域を高い選択性をもってエッチングすることが可能となる。
また、一形態においては、工程(a)及び工程(b)は、上部電極を有する容量結合型のプラズマ処理装置を用いて実行されてもよい。上部電極は、シリコン製の電極板を有し、上部電極には、電極板に正イオンを引き込むための電圧を印加する電源が接続されている。この形態では、工程(a)及び工程(b)のうち少なくとも一方において、上部電極に前記電圧が印加される。この形態によれば、電極板に正イオンが衝突することによって、当該電極板からシリコンが放出される。放出されたシリコンは、プラズマ処理装置内に存在するフッ素の活性種と結合し、フッ素の活性種の量を減少させる。その結果、窒化シリコンから構成された別の領域のエッチングが抑制され、酸化シリコンから構成された領域を更に高い選択性をもってエッチングすることが可能となる。
以上説明したように、シリコン酸化膜のエッチングの停止を防止することによりシリコン酸化膜のエッチングを継続して行うことが可能となる。
図1は、一実施形態に係るエッチング方法を示す流れ図である。 図2は、一実施形態に係るプラズマ処理装置を示す図である。 方法MTの処理対象の一例を示す断面図である。 方法MTにおける第1領域の処理を説明するための図である。 方法MTにおける第2領域の処理を説明するための図である。 方法MTの処理対象の別の一例を示す断面図である。 実験例9によって得られた結果を示すグラフである。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るエッチング方法を示す流れ図である。図1に示す方法MTは、酸化シリコンから構成された領域をエッチングする方法である。この方法は、一例においては、酸化シリコンから構成された第1領域と窒化シリコンから構成された第2領域を有する被処理体(以下、「ウエハ」という)に適用されてもよい。
以下、図1に示す方法MTを詳細に説明する前に、当該方法MTの実施に用いることができるプラズマ処理装置について説明する。図2は、一実施形態に係るプラズマ処理装置を示す図である。図2に示すプラズマ処理装置10は、容量結合型プラズマエッチング装置であり、略円筒状の処理容器12を備えている。処理容器12の内壁面は、例えば、陽極酸化処理されたアルミニウムから構成されている。この処理容器12は保安接地されている。
処理容器12の底部上には、略円筒状の支持部14が設けられている。支持部14は、例えば、絶縁材料から構成されている。支持部14は、処理容器12内において、処理容器12の底部から鉛直方向に延在している。また、処理容器12内には、載置台PDが設けられている。載置台PDは、支持部14によって支持されている。
載置台PDは、その上面においてウエハWを保持する。載置台PDは、下部電極LE及び静電チャックESCを有している。下部電極LEは、第1プレート18a及び第2プレート18bを含んでいる。第1プレート18a及び第2プレート18bは、例えばアルミアルミニウムといった金属から構成されており、略円盤形状をなしている。第2プレート18bは、第1プレート18a上に設けられており、第1プレート18aに電気的に接続されている。
第2プレート18b上には、静電チャックESCが設けられている。静電チャックESCは、導電膜である電極を一対の絶縁層又は絶縁シート間に配置した構造を有している。静電チャックESCの電極には、直流電源22がスイッチ23を介して電気的に接続されている。この静電チャックESCは、直流電源22からの直流電圧により生じたクーロン力等の静電力によりウエハWを吸着する。これにより、静電チャックESCは、ウエハWを保持することができる。
第2プレート18bの周縁部上には、ウエハWのエッジ及び静電チャックESCを囲むようにフォーカスリングFRが配置されている。フォーカスリングFRは、エッチングの均一性を向上させるために設けられている。フォーカスリングFRは、エッチング対象の膜の材料によって適宜選択される材料から構成されており、例えば、石英から構成され得る。
第2プレート18bの内部には、冷媒流路24が設けられている。冷媒流路24は、温調機構を構成している。冷媒流路24には、処理容器12の外部に設けられたチラーユニットから配管26aを介して冷媒が供給される。冷媒流路24に供給された冷媒は、配管26bを介してチラーユニットに戻される。このように、冷媒流路24には、冷媒が循環するよう、供給される。この冷媒の温度を制御することにより、静電チャックESCによって支持されたウエハWの温度が制御される。
また、プラズマ処理装置10には、ガス供給ライン28が設けられている。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャックESCの上面とウエハWの裏面との間に供給する。
また、プラズマ処理装置10は、上部電極30を備えている。上部電極30は、載置台PDの上方において、当該載置台PDと対向配置されている。下部電極LEと上部電極30とは、互いに略平行に設けられている。これら上部電極30と下部電極LEとの間には、ウエハWにプラズマ処理を行うための処理空間Sが提供されている。
上部電極30は、絶縁性遮蔽部材32を介して、処理容器12の上部に支持されている。一実施形態では、上部電極30は、載置台PDの上面、即ち、ウエハ載置面からの鉛直方向における距離が可変であるように構成され得る。上部電極30は、電極板34及び電極支持体36を含み得る。電極板34は処理空間Sに面しており、当該電極板34には複数のガス吐出孔34aが設けられている。この電極板34は、一実施形態では、シリコンから構成されている。
電極支持体36は、電極板34を着脱自在に支持するものであり、例えばアルミニウムといった導電性材料から構成され得る。この電極支持体36は、水冷構造を有し得る。電極支持体36の内部には、ガス拡散室36aが設けられている。このガス拡散室36aからは、ガス吐出孔34aに連通する複数のガス通流孔36bが下方に延びている。また、電極支持体36には、ガス拡散室36aに処理ガスを導くガス導入口36cが形成されており、このガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群42及び流量制御器群44を介して、ガスソース群40が接続されている。ガスソース群40は、フルオロカーボンガスのソース、希ガスのソース、及び、酸素(O)ガスのソースといった複数のガスソースを含んでいる。フルオロカーボンガスは、例えば、Cガス及びCガスのうち少なくとも一種を含むガスである。また、希ガスは、Arガス、Heガスといった種々の希ガスのうち少なくとも一種を含むガスである。
バルブ群42は複数のバルブを含んでおり、流量制御器群44はマスフローコントローラといった複数の流量制御器を含んでいる。ガスソース群40の複数のガスソースはそれぞれ、バルブ群42の対応のバルブ及び流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。
また、プラズマ処理装置10では、処理容器12の内壁に沿ってデポシールド46が着脱自在に設けられている。デポシールド46は、支持部14の外周にも設けられている。デポシールド46は、処理容器12にエッチング副生物(デポ)が付着することを防止するものであり、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。
処理容器12の底部側、且つ、支持部14と処理容器12の側壁との間には排気プレート48が設けられている。排気プレート48は、例えば、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。この排気プレート48の下方、且つ、処理容器12には、排気口12eが設けられている。排気口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、ターボ分子ポンプなどの真空ポンプを有しており、処理容器12内の空間を所望の真空度まで減圧することができる。また、処理容器12の側壁にはウエハWの搬入出口12gが設けられており、この搬入出口12gはゲートバルブ54により開閉可能となっている。
また、プラズマ処理装置10は、第1の高周波電源62及び第2の高周波電源64を更に備えている。第1の高周波電源62は、プラズマ生成用の第1の高周波電力を発生する電源であり、27〜100MHzの周波数、一例においては40MHzの高周波電力を発生する。第1の高周波電源62は、整合器66を介して下部電極LEに接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。
第2の高周波電源64は、ウエハWにイオンを引き込むための第2の高周波電力、即ち高周波バイアス電力を発生する電源であり、400kHz〜13.56MHzの範囲内の周波数、一例においては13MHzの高周波バイアス電力を発生する。第2の高周波電源64は、整合器68を介して下部電極LEに接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。
また、プラズマ処理装置10は、電源70を更に備えている。電源70は、上部電極30に接続されている。電源70は、処理空間S内に存在する正イオンを電極板34に引き込むための電圧を、上部電極30に印加する。一例においては、電源70は、負の直流電圧を発生する直流電源である。別の一例において、電源70は、比較的低周波の交流電圧を発生する交流電源であってもよい。電源70から上部電極に印加される電圧は、−150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150以上の負の電圧であり得る。このような電圧が電源70から上部電極30に印加されると、処理空間Sに存在する正イオンが、電極板34に衝突する。これにより、電極板34から二次電子及び/又はシリコンが放出される。放出されたシリコンは、処理空間S内に存在するフッ素の活性種と結合し、フッ素の活性種の量を低減させる。
また、一実施形態においては、プラズマ処理装置10は、制御部Cntを更に備え得る。この制御部Cntは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置10の各部を制御する。この制御部Cntでは、入力装置を用いて、オペレータがプラズマ処理装置10を管理するためにコマンドの入力操作等を行うことができ、また、表示装置により、プラズマ処理装置10の稼働状況を可視化して表示することができる。さらに、制御部Cntの記憶部には、プラズマ処理装置10で実行される各種処理をプロセッサにより制御するための制御プログラムや、処理条件に応じてプラズマ処理装置10の各部に処理を実行させるためのプログラム、即ち、処理レシピが格納される。
以下、再び図1を参照して、方法MTについて詳細に説明する。方法MTは、工程ST1及び工程ST2を含んでおり、これら工程ST1及び工程ST2を交互に繰り返すことにより、酸化シリコンから構成された領域のエッチングの停止を防止しつつ、シリコン酸化膜のエッチングを進行させる。
一実施形態においては、方法MTは、図3に示すウエハWを処理対象として当該ウエハWの一部領域を選択的にエッチングすることができる。具体的に、図3に示すウエハWは、基板SB、第1領域R1、及び、第2領域R2を有している。基板SBは、第1領域R1及び第2領域R2の下地基板である。第1領域R1は、酸化シリコンから構成されており、第2領域R2は、窒化シリコンから構成されている。第1領域R1及び第2領域は、同時にプラズマで晒される領域である。方法MTは、一実施形態においては、第2領域R2に対して第1領域R1を選択的にエッチングするために実施され得る。以下、図3に示すウエハWを処理対象として想定し、方法MTについて説明する。また、以下の説明では、図4及び図5を参照する。図4は、方法MTにおける第1領域の処理を説明するための図である。また、図5は、方法MTにおける第2領域の処理を説明するための図である。
方法MTの工程ST1では、ウエハWがフルオロカーボンガスを含む処理ガスのプラズマに晒される。フルオロカーボンガスは、例えば、Cガス及びCガスのうち少なくとも一種を含む。また、この処理ガスは、Arガス、Heガスといった種々の希ガスのうち少なくとも一種を含み得る。さらに、この処理ガスは、酸素(O)ガスを含み得る。工程ST1では、当該処理ガスが励起されることによりプラズマが生成され、生成されたプラズマにウエハWが晒される。
プラズマ処理装置10を用いて工程ST1を実施する場合には、ガスソース群40から処理ガスが処理容器12内に供給される。また、工程ST1では、第1の高周波電源62からの高周波電力が下部電極LEに供給される。また、工程ST1では、第2の高周波電源64からの高周波バイアス電力が下部電極LEに供給され得る。また、工程ST1では、排気装置50によって処理容器12内の空間の圧力が所定の圧力に設定される。例えば、処理容器12内の空間の圧力は、20mTorr(2.666Pa)〜50mTorr(6.666Pa)の範囲内の圧力に設定される。さらに、工程ST1では、上部電極30と載置台PDの上面との間の距離が、20mm〜50mmの範囲内の距離に設定される。これにより、処理容器12内において処理ガスのプラズマが生成され、載置台PD上に載置されたウエハWが当該プラズマに晒される。なお、工程ST1では、上部電極30に電源70からの電圧が印加されてもよい。電源70によって上部電極30に印加される電圧は、−150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150V以上の負の電圧であり得る。この工程ST1の実行時のプラズマ処理装置10の各部の動作は、制御部Cntによって制御され得る。
工程ST1では、初期的には図4の(a)に示す状態のウエハWの第1領域R1に、フルオロカーボンに由来する原子及び/又は分子の活性種、例えば、フッ素及び/又はフルオロカーボンの活性種が衝突する。これにより、工程ST1では、第1領域R1がエッチングされる。また、工程ST1では、フルオロカーボンを含む堆積物が第1領域R1に付着する。これにより、フルオロカーボンを含む堆積物DPが第1領域R1上に形成される。この堆積物DPの膜厚は、工程ST1の実行時間の経過につれて増加する。
また、工程ST1では、初期的には図5の(a)に示す状態のウエハWの第2領域R2にも、フルオロカーボンに由来する原子及び/又は分子の活性種、例えば、フッ素及び/又はフルオロカーボンの活性種が衝突する。かかる活性種が第2領域R2に衝突すると、第2領域R2の表面にフルオロカーボン堆積物が変質したカーボン含有比率の高い変質領域TRが形成され得る。変質領域TRは、第2領域R2を構成するシリコン及び窒素、処理ガスに含まれる原子及び/又は分子を含有する。例えば、変質領域TRは、シリコン及び窒素に加えて、処理ガスに含まれる炭素、フッ素、及び酸素を含有し得る。また、工程ST1では、変質領域TR上に堆積物DPが形成される。
なお、工程ST1において電源70からの電圧が上部電極30に印加される場合には、処理空間Sに存在する正イオンが、電極板34に衝突する。これにより、電極板34からシリコンが放出される。放出されたシリコンは、処理空間S内に存在するフッ素の活性種、例えば、フッ素ラジカル及びフッ素イオンと結合し、フッ素の活性種の量を低減させる。これにより、カーボン含有比率の高い変質領域TRの形成速度が増加し、フッ素の活性種による第2領域R2のエッチングが抑制される。一方、第1領域R1はプラズマ中のフルオロカーボンの活性種によってエッチングされ、また、当該第1領域R1上にはフルオロカーボンの活性種によってフルオロカーボンの堆積物DPが形成される。
このような工程ST1の処理により形成された堆積物DPの膜厚が大きくなると、第1領域R1をエッチングし得る活性種が第1領域R1に到達することが当該堆積物DPによって阻害される。したがって、工程ST1を連続的に継続すると、第1領域R1のエッチングが停止する。このようなエッチングの停止を防止するために、方法MTでは、次いで、工程ST2が実行される。
工程ST2では、堆積物DPに含まれるフルオロカーボンのラジカルにより第1領域R1がエッチングされる。一実施形態の工程ST2では、工程ST1の処理後のウエハWが、希ガスのプラズマに晒される。この工程ST2の処理時間と工程ST1の処理時間は任意に設定され得る。一実施形態においては、工程ST1の処理時間と工程ST2の処理時間の合計において工程ST1の処理時間が占める割合は、30%〜70%の範囲内の割合に設定され得る。
プラズマ処理装置10を用いて工程ST2を実施する場合には、ガスソース群40から希ガスが供給される。また、工程ST2では、希ガスに加えて酸素ガス(Oガス)が供給されてもよく、或いは、酸素ガスが供給されなくてもよい。また、工程ST2では、第1の高周波電源62からの高周波電力が下部電極LEに供給される。また、工程ST2では、第2の高周波電源64からの高周波バイアス電力が下部電極LEに供給され得る。また、工程ST2では、排気装置50によって処理容器12内の空間の圧力が所定の圧力に設定される。例えば、処理容器12内の空間の圧力は、20mTorr(2.666Pa)〜50mTorr(6.666Pa)の範囲内の圧力に設定される。さらに、工程ST2では、上部電極30と載置台PDの上面との間の距離が、20mm〜50mmの範囲内の距離に設定される。これにより、処理容器12内において希ガスのプラズマが生成され、載置台PD上に載置されたウエハWが当該プラズマに晒される。なお、工程ST2では、上部電極30に電源70からの電圧が印加されてもよい。電源70によって上部電極30に印加される電圧は、−150V以下の電圧であり得る。即ち、電源70によって上部電極30に印加される電圧は、絶対値が150V以上の負の電圧であり得る。この工程ST2の実行時のプラズマ処理装置10の各部の動作は、制御部Cntによって制御され得る。
工程ST2では、希ガス原子の活性種、例えば、希ガス原子のイオンが、堆積物DPに衝突する。これにより、図4の(c)に示すように、堆積物DP中のフルオロカーボンラジカルが、第1領域R1のエッチングを進行させる。また、この工程ST2により、堆積物DPの膜厚が減少する。また、工程ST2では、図5の(c)に示すように、第2領域R2上の堆積物DPの膜厚も減少する。ただし、第2領域R2上には変質領域TRが存在するので、第2領域R2のエッチングは抑制される。
なお、工程ST2において電源70からの電圧が上部電極30に印加される場合には、処理空間Sに存在する正イオンが、電極板34に衝突する。これにより、電極板34からシリコンが放出される。工程ST2の実行期間中には、工程ST1において生成されたフッ素の活性種が除去されずに処理容器12内に残存し得る。電極板34から放出されたシリコンは、処理空間S内に存在するフッ素の活性種と結合し、フッ素の活性種の量を低減させる。これにより、フッ素の活性種による第2領域R2のエッチングが抑制される。一方、第1領域R1は堆積物DP中のフルオロカーボンラジカルによってエッチングされる。
また、工程ST2において酸素ガスが供給されない場合には、第1領域R1が第2領域R2に対して更に選択的にエッチングされ得る。
方法MTでは、工程ST2の実行の後、再び、工程ST1が実行される。先の工程ST2の実行によって堆積物DPの膜厚が減少しているので、再び工程ST1を実行して上述した処理ガスのプラズマにウエハWを晒すと、第1領域R1を更にエッチングすることができる。その後、更に工程ST2が実行することで、堆積物DP中のフルオロカーボンラジカルにより第1領域R1をエッチングすることができる。
方法MTでは、工程ST3において停止条件が満たされるか否かが判定される。停止条件は、例えば、工程ST1及び工程ST2を含むサイクルの繰り返し回数が所定回数に達したときに満たされたものと判定される。停止条件が満たされない場合には、工程ST1及び工程ST2を含むサイクルが再び実行される。一方、停止条件が満たされる場合には、方法MTが終了する。
以上説明した方法MTでは、工程ST1及び工程ST2を交互に複数回実行することにより、第1領域R1のエッチングの停止を防止することができる。その結果、第1領域R1のエッチングを継続することができる。さらに、方法MTでは、第1領域R1を第2領域R2に対して選択的にエッチングすることができる。
図6は、方法MTの処理対象の別の一例を示す断面図である。図6に示すウエハは、第1領域R1及び第2領域R2を有するウエハW2であり、このウエハW2に対して方法MTを用いることができる。具体的に、ウエハW2は、下地層100、複数の隆起領域102、第1領域R1、第2領域R2、及び、マスク108を有している。このウエハW2は、例えば、フィン型電界効果トランジスタの製造中に得られる生産物であり得る。
下地層100は、例えば、多結晶シリコンから構成され得る。下地層は、一例においてはフィン領域であり、略直方体形状を有している。複数の隆起領域102は、下地層100上に設けられており、互いに略平行に配列されている。これら隆起領域102は、例えば、ゲート領域であり得る。第2領域R2は、窒化シリコンから構成されており、隆起領域102を覆うように設けられている。また、複数の隆起領域102は、第1領域R1内に埋め込まれている。即ち、第1領域R1は、第2領域R2を介して隆起領域102を覆うように設けられている。この第1領域R1は、酸化シリコンから構成されている。第1領域R1上には、マスク108が設けられている。マスク108は、隣接する隆起領域102間の上方において開口するパターンを有している。このマスク108は、有機膜から構成されている。なお、マスク108は、フォトリソグラフィによって作成することが可能である。
このウエハW2に対して方法MTを実施すると、ウエハW2の第1領域R1を第2領域R2に対して選択的にエッチングすることができ、隣接する隆起領域102の間の領域においてホールを自己整合的に形成することができる。また、第1領域R1のエッチングの停止を防止しつつ、当該第1領域R1のエッチングを進行させることができる。これにより、形成されたホールは、隣接する隆起領域102の間の領域を通って下地層100の表面まで延在する。かかるホールは、例えば、フィン領域のソース又はドレインに接続するコンタクト用のホールとなり得る。
以下、方法MTの評価のために行った種々の実験例について説明する。以下に説明する実験例は単に例示のために示されるものであって、本発明を限定するものではない。
(実験例1〜4及び参考実験例1)
実験例1〜4及び参考実験例1では、レジスト膜を表面に有する基板上に30mm角の酸化シリコン製のチップ及び30mm角の窒化シリコン製のチップを貼り付けた構造を有するウエハを準備した。これら二つのチップをウエハの中心から均等な距離に貼り付けた。このウエハに対して、以下に記す処理条件の実験例1〜4及び参考実験例1の処理を行った。なお、実験例1では、6秒間の工程ST1及び6秒間の工程ST2を含むサイクルを5回実行し、実験例2では、3秒間の工程ST1及び3秒間の工程ST2を含むサイクルを10回実行し、実験例3では、2秒間の工程ST1及び2秒間の工程ST2を含むサイクルを15回実行し、実験例4では、1秒間の工程ST1及び1秒間の工程ST2を含むサイクルを30回実行した。また、参考実験例1では、下記の工程ST1の処理条件で60秒間の処理を実行した。
<処理条件>
・工程ST1
ガス流量:16sccm
Arガス流量:1000sccm
ガス流量:10sccm
第1の高周波電源62の高周波電力:40MHz,500W
第2の高周波電源64の高周波バイアス電力:13MHz,100W
電源70の直流電圧:−300V
・工程ST2
ガス流量:0sccm
Arガス流量:1000sccm
ガス流量:10sccm
第1の高周波電源62の高周波電力:40MHz,500W
第2の高周波電源64の高周波バイアス電力:13MHz,100W
電源70の直流電圧:−300V
(実験例5〜6及び参考実験例2)
実験例5〜6及び参考実験例2では、実験例1と同様のウエハを準備した。このウエハに対して、以下に記す処理条件の実験例5〜6及び参考実験例2の処理を行った。なお、実験例5では、6秒間の工程ST1及び6秒間の工程ST2を含むサイクルを5回実行し、実験例6では、3秒間の工程ST1及び3秒間の工程ST2を含むサイクルを10回実行した。また、参考実験例2では、下記の工程ST1の処理条件で60秒間の処理を実行した。
<処理条件>
・工程ST1
ガス流量:8sccm
Arガス流量:1000sccm
第1の高周波電源62の高周波電力:40MHz,500W
第2の高周波電源64の高周波バイアス電力:13MHz,100W
電源70の直流電圧:−300V
・工程ST2
ガス流量:0sccm
Arガス流量:1000sccm
第1の高周波電源62の高周波電力:40MHz,500W
第2の高周波電源64の高周波バイアス電力:13MHz,100W
電源70の直流電圧:−300V
(実験例7〜8及び参考実験例3)
実験例7〜8及び参考実験例3では、実験例1と同様のウエハを準備した。このウエハに対して、以下に記す処理条件の実験例7〜8及び参考実験例3の処理を行った。なお、実験例7では、6秒間の工程ST1及び6秒間の工程ST2を含むサイクルを5回実行し、実験例8では、3秒間の工程ST1及び3秒間の工程ST2を含むサイクルを10回実行した。また、参考実験例3では、下記の工程ST1の処理条件で60秒間の処理を実行した。
<処理条件>
・工程ST1
ガス流量:24sccm
Arガス流量:1000sccm
ガス流量:10sccm
第1の高周波電源62の高周波電力:40MHz,1000W
第2の高周波電源64の高周波バイアス電力:13MHz,1000W
電源70の直流電圧:−300V
・工程ST2
ガス流量:0sccm
Arガス流量:1000sccm
ガス流量:10sccm
第1の高周波電源62の高周波電力:40MHz,1000W
第2の高周波電源64の高周波バイアス電力:13MHz,1000W
電源70の直流電圧:−300V
上述した実験例及び参考実験例の処理による酸化シリコン製のチップ及び窒化シリコン製のチップそれぞれの膜厚の変化量、即ち、エッチング量を求めた。また、窒化シリコン製のチップのエッチング量に対する酸化シリコン製のチップのエッチング量の比、即ち選択比を求めた。表1に実験例1〜4及び参考実験例1の二つのチップのエッチング量及び選択比を示し、表2に実験例5〜6及び参考実験例2の二つのチップのエッチング量及び選択比を示し、表3に実験例7〜8及び参考実験例3の二つのチップのエッチング量及び選択比を示す。
Figure 2015173240

Figure 2015173240

Figure 2015173240
実験例1〜4の酸化シリコン製のチップのエッチング量と参考実験例1の酸化シリコン製のチップのエッチング量とを比較すると、実験例1〜4の酸化シリコン製のチップのエッチング量は、参考実験例1の酸化シリコン製のチップのエッチング量よりも大きくなっている。この結果から、方法MTの例示的な実験例である実験例1〜4によれば、酸化シリコンから構成された領域のエッチングを継続することが可能なことが確認された。また、実験例1〜4の選択比と参考実験例1の選択比を比較すると、実験例1〜4によれば、選択比も大きくなることが確認された。この結果から、方法MTの例示的な実験例である実験例1〜4によれば、選択比を改善することが可能であることが確認された。
また、実験例5〜6は実験例1〜4からフルオロカーボンガスのガス種を変更した実験例であり、参考実験例2は参考実験例1からフルオロカーボンガスのガス種を変更した実験例である。これら実験例5〜6と参考実験例2を比較しても、実験例1〜4と参考実験例1の比較結果と同様の結果が確認された。即ち、方法MTの例示的な実験例である実験例5〜6によれば、フルオロカーボンガスのガス種を変更しても、酸化シリコンから構成された領域のエッチングを継続することが可能であり、また、選択比を改善することが可能であることが確認された。
また、実験例7〜8は実験例1〜4から高周波電力及び高周波バイアス電力を変更した実験であり、参考実験例3は参考実験例1から高周波電力及び高周波バイアス電力を変更した実験である。これら実験例7〜8と参考実験例3を比較しても、実験例1〜4と参考実験例1の比較結果と同様の結果が確認された。即ち、方法MTの例示的な実験例である実験例7〜8によれば、高周波電力及び高周波バイアス電力を変更しても、酸化シリコンから構成された領域のエッチングを継続することが可能であり、また、選択比を改善することが可能であることが確認された。
(実験例9)
実験例9では、実験例1と同様のウエハを準備した。このウエハに対して、以下に記す処理条件の処理を行った。即ち、実験例9では、工程ST2において酸素ガス(O2ガス)を供給しなかった。なお、実験例9では、工程ST1と工程ST2の繰り返し回数を可変のパラメータとした。即ち、実験例9では、工程ST1と工程ST2の繰り返しによる合計処理時間を可変のパラメータとした。
<処理条件>
・工程ST1
ガス流量:16sccm
Arガス流量:1000sccm
ガス流量:10sccm
第1の高周波電源62の高周波電力:40MHz,500W
第2の高周波電源64の高周波バイアス電力:13MHz,100W
電源70の直流電圧:−300V
処理時間:1秒
・工程ST2
ガス流量:0sccm
Arガス流量:1000sccm
ガス流量:0sccm
第1の高周波電源62の高周波電力:40MHz,500W
第2の高周波電源64の高周波バイアス電力:13MHz,100W
電源70の直流電圧:−300V
処理時間:5秒
図7に、実験例9によって得られた結果に関するグラフを示す。図7には、酸化シリコン製のチップのエッチング量、即ち酸化シリコンのエッチング量と、窒化シリコン製のチップのエッチング量、即ち窒化シリコンのエッチング量が示されている。図7において、横軸は合計処理時間を示しており、縦軸はエッチング量を示している。図7に示すように、実験例9により、合計処理時間が長くなるにつれて、酸化シリコン製のチップのエッチング量は増加し、一方、窒化シリコン製のチップのエッチング量は少ない量で一定のままであるという結果が得られた。即ち、実験例9により、高い選択性が得られることが確認された。例えば、実験例9において60秒の合計処理時間の処理を行うことにより、6.4の選択比が得られた。この実験例9の選択比は実験例1〜4の選択比よりも高い。したがって、工程ST2において酸素ガスを供給しないことにより、更に高い選択性が得られることが確認された。
以上、種々の実施形態について説明してきたが、上述した実施形態に限定されることなく種々の変形態様を構成可能である。例えば、上述した説明では、容量結合型のプラズマ処理装置10を方法MTの実施に用いることが可能なプラズマ処理装置として例示したが、方法MTの実施には任意のプラズマ源を用いたプラズマ処理装置を利用可能である。例えば、方法MTの実施には、誘導結合型のプラズマ処理装置、マイクロ波といった表面波をプラズマ源として用いるプラズマ処理装置といった種々のプラズマ処理装置を用いることが可能である。
10…プラズマ処理装置、12…処理容器、PD…載置台、ESC…静電チャック、LE…下部電極、30…上部電極、40…ガスソース群、50…排気装置、62…第1の高周波電源、64…第2の高周波電源、70…電源、W,W2…ウエハ、DP…堆積物、R1…第1領域、R2…第2領域。

Claims (5)

  1. 酸化シリコンから構成された領域をエッチングする方法であって、
    前記領域を有する被処理体をフルオロカーボンガスを含む処理ガスのプラズマに晒す工程であり、該領域をエッチングし、且つ該領域上にフルオロカーボンを含む堆積物を形成する、該工程と、
    前記堆積物に含まれるフルオロカーボンのラジカルにより前記領域をエッチングする工程と、
    を含み、前記被処理体をフルオロカーボンガスのプラズマに晒す前記工程と、前記フルオロカーボンのラジカルにより前記領域をエッチングする前記工程とが、交互に繰り返される、
    方法。
  2. 前記フルオロカーボンのラジカルにより前記領域をエッチングする前記工程では、希ガスのプラズマに前記被処理体が晒される、請求項1に記載の方法。
  3. 前記フルオロカーボンのラジカルにより前記領域をエッチングする前記工程では、フルオロカーボンガスが供給されない、請求項2に記載の方法。
  4. 前記被処理体は、窒化シリコンから構成された別の領域を更に有する、請求項1〜3の何れか一項に記載の方法。
  5. 前記被処理体をフルオロカーボンガスを含む処理ガスのプラズマに晒す前記工程、及び、前記フルオロカーボンのラジカルにより前記領域をエッチングする前記工程は、上部電極を有する容量結合型のプラズマ処理装置を用いて実行され、
    前記上部電極は、シリコン製の電極板を有し、
    前記上部電極には、前記電極板に正イオンを引き込むための電圧を印加する電源が接続されており、
    前記被処理体をフルオロカーボンガスを含む処理ガスのプラズマに晒す前記工程、及び、前記フルオロカーボンのラジカルにより前記領域をエッチングする前記工程のうち少なくとも一方において、前記上部電極に前記電圧が印加される、
    請求項4に記載の方法。
JP2014136543A 2014-02-24 2014-07-02 エッチング方法 Active JP6396699B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2014136543A JP6396699B2 (ja) 2014-02-24 2014-07-02 エッチング方法
CN201510080883.6A CN104867827B (zh) 2014-02-24 2015-02-13 蚀刻方法
KR1020150024040A KR102356211B1 (ko) 2014-02-24 2015-02-17 에칭 방법
TW104105528A TWI657502B (zh) 2014-02-24 2015-02-17 蝕刻方法
US14/626,022 US9396962B2 (en) 2014-02-24 2015-02-19 Etching method
EP15155980.4A EP2911187A1 (en) 2014-02-24 2015-02-20 Etching method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014032945 2014-02-24
JP2014032945 2014-02-24
JP2014136543A JP6396699B2 (ja) 2014-02-24 2014-07-02 エッチング方法

Publications (2)

Publication Number Publication Date
JP2015173240A true JP2015173240A (ja) 2015-10-01
JP6396699B2 JP6396699B2 (ja) 2018-09-26

Family

ID=52589251

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014136543A Active JP6396699B2 (ja) 2014-02-24 2014-07-02 エッチング方法

Country Status (6)

Country Link
US (1) US9396962B2 (ja)
EP (1) EP2911187A1 (ja)
JP (1) JP6396699B2 (ja)
KR (1) KR102356211B1 (ja)
CN (1) CN104867827B (ja)
TW (1) TWI657502B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016027594A (ja) * 2014-07-01 2016-02-18 東京エレクトロン株式会社 被処理体を処理する方法
CN109559987A (zh) * 2017-09-26 2019-04-02 东京毅力科创株式会社 等离子体处理方法
WO2019117130A1 (ja) 2017-12-15 2019-06-20 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US10373846B2 (en) 2016-06-01 2019-08-06 Tokyo Electron Limited Substrate processing method
KR20200087694A (ko) 2019-01-11 2020-07-21 도쿄엘렉트론가부시키가이샤 처리 방법 및 플라즈마 처리 장치
KR20200090133A (ko) 2019-01-18 2020-07-28 도쿄엘렉트론가부시키가이샤 막을 에칭하는 방법
WO2020250751A1 (ja) 2019-06-13 2020-12-17 東京エレクトロン株式会社 エッチング方法、及びエッチング装置
KR20210117165A (ko) 2020-03-18 2021-09-28 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
KR20210117944A (ko) 2020-03-19 2021-09-29 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치
US11211229B2 (en) 2019-01-11 2021-12-28 Tokyo Electron Limited Processing method and plasma processing apparatus
KR20220103120A (ko) 2020-09-18 2022-07-21 도쿄엘렉트론가부시키가이샤 에칭 방법, 플라즈마 처리 장치, 기판 처리 시스템 및 프로그램
KR20220109440A (ko) 2019-12-12 2022-08-04 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US11721595B2 (en) 2019-01-11 2023-08-08 Tokyo Electron Limited Processing method and plasma processing apparatus
US11955316B2 (en) 2019-10-01 2024-04-09 Tokyo Electron Limited Substrate processing method, method for manufacturing semiconducor device, and plasma processing apparatus

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105810579B (zh) 2015-01-16 2019-12-06 东京毅力科创株式会社 蚀刻方法
JP2016157793A (ja) * 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
JP6592400B2 (ja) * 2016-05-19 2019-10-16 東京エレクトロン株式会社 エッチング方法
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP7198609B2 (ja) * 2018-08-21 2023-01-04 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7110034B2 (ja) * 2018-08-24 2022-08-01 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260442A (ja) * 1992-11-27 1994-09-16 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
JP2003507880A (ja) * 1999-08-17 2003-02-25 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
JP2005530345A (ja) * 2002-06-14 2005-10-06 ラム リサーチ コーポレーション 改善されたレジスト外形、および/または、エッチング外形特性を有する誘電体膜エッチング・プロセス
JP2005327957A (ja) * 2004-05-17 2005-11-24 Sony Corp 半導体装置の製造方法
JP2007134530A (ja) * 2005-11-11 2007-05-31 Hitachi High-Technologies Corp エッチング処理装置およびエッチング処理方法,半導体デバイス
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
JP2010123812A (ja) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp プラズマ処理装置及びプラズマ処理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880036A (en) 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6265302B1 (en) * 1999-07-12 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Partially recessed shallow trench isolation method for fabricating borderless contacts
JP2003224077A (ja) * 2002-01-30 2003-08-08 Tokyo Electron Ltd プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP2014041849A (ja) * 2010-06-24 2014-03-06 Nippon Zeon Co Ltd プラズマ反応用ガス及びその利用
JP5802454B2 (ja) * 2011-06-30 2015-10-28 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN103117216B (zh) * 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260442A (ja) * 1992-11-27 1994-09-16 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH07226397A (ja) * 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
JP2003507880A (ja) * 1999-08-17 2003-02-25 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP2005530345A (ja) * 2002-06-14 2005-10-06 ラム リサーチ コーポレーション 改善されたレジスト外形、および/または、エッチング外形特性を有する誘電体膜エッチング・プロセス
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
JP2005327957A (ja) * 2004-05-17 2005-11-24 Sony Corp 半導体装置の製造方法
JP2007134530A (ja) * 2005-11-11 2007-05-31 Hitachi High-Technologies Corp エッチング処理装置およびエッチング処理方法,半導体デバイス
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
JP2010123812A (ja) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp プラズマ処理装置及びプラズマ処理方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
AGARWAL, A., KUSHNER, M.J.: "Plasma atomic layer etching using conventional plasma equipment", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY A, vol. 27, no. 1, JPN6018003491, 8 December 2008 (2008-12-08), US, pages 37 - 50, XP012128832, DOI: doi:10.1116/1.3021361 *

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016027594A (ja) * 2014-07-01 2016-02-18 東京エレクトロン株式会社 被処理体を処理する方法
US10373846B2 (en) 2016-06-01 2019-08-06 Tokyo Electron Limited Substrate processing method
US10546723B2 (en) 2017-09-26 2020-01-28 Tokyo Electron Limited Plasma processing method
JP2019061849A (ja) * 2017-09-26 2019-04-18 東京エレクトロン株式会社 プラズマ処理方法
KR20190035589A (ko) 2017-09-26 2019-04-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
US11145490B2 (en) 2017-09-26 2021-10-12 Tokyo Electron Limited Plasma processing method
TWI815822B (zh) * 2017-09-26 2023-09-21 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
CN109559987B (zh) * 2017-09-26 2023-03-10 东京毅力科创株式会社 等离子体处理方法
CN109559987A (zh) * 2017-09-26 2019-04-02 东京毅力科创株式会社 等离子体处理方法
WO2019117130A1 (ja) 2017-12-15 2019-06-20 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR20200094751A (ko) 2017-12-15 2020-08-07 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US11120999B2 (en) 2017-12-15 2021-09-14 Tokyo Electron Limited Plasma etching method
KR20200087694A (ko) 2019-01-11 2020-07-21 도쿄엘렉트론가부시키가이샤 처리 방법 및 플라즈마 처리 장치
US11721595B2 (en) 2019-01-11 2023-08-08 Tokyo Electron Limited Processing method and plasma processing apparatus
US11211229B2 (en) 2019-01-11 2021-12-28 Tokyo Electron Limited Processing method and plasma processing apparatus
JP7174634B2 (ja) 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
US11127598B2 (en) 2019-01-18 2021-09-21 Tokyo Electron Limited Film etching method for etching film
US11594422B2 (en) 2019-01-18 2023-02-28 Tokyo Electron Limited Film etching method for etching film
JP2020119918A (ja) * 2019-01-18 2020-08-06 東京エレクトロン株式会社 膜をエッチングする方法
KR20200090133A (ko) 2019-01-18 2020-07-28 도쿄엘렉트론가부시키가이샤 막을 에칭하는 방법
KR20220020806A (ko) 2019-06-13 2022-02-21 도쿄엘렉트론가부시키가이샤 에칭 방법, 및 에칭 장치
WO2020250751A1 (ja) 2019-06-13 2020-12-17 東京エレクトロン株式会社 エッチング方法、及びエッチング装置
US11955316B2 (en) 2019-10-01 2024-04-09 Tokyo Electron Limited Substrate processing method, method for manufacturing semiconducor device, and plasma processing apparatus
KR20220109440A (ko) 2019-12-12 2022-08-04 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
KR20210117165A (ko) 2020-03-18 2021-09-28 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US11955342B2 (en) 2020-03-19 2024-04-09 Tokyo Electron Limited Method of etching and apparatus for plasma processing
KR20210117944A (ko) 2020-03-19 2021-09-29 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치
KR20220103120A (ko) 2020-09-18 2022-07-21 도쿄엘렉트론가부시키가이샤 에칭 방법, 플라즈마 처리 장치, 기판 처리 시스템 및 프로그램
KR20230124754A (ko) 2020-09-18 2023-08-25 도쿄엘렉트론가부시키가이샤 에칭 방법, 플라즈마 처리 장치, 기판 처리 시스템및 프로그램

Also Published As

Publication number Publication date
CN104867827B (zh) 2017-10-31
KR20150100522A (ko) 2015-09-02
US20150243522A1 (en) 2015-08-27
KR102356211B1 (ko) 2022-01-27
TW201543571A (zh) 2015-11-16
JP6396699B2 (ja) 2018-09-26
CN104867827A (zh) 2015-08-26
EP2911187A1 (en) 2015-08-26
US9396962B2 (en) 2016-07-19
TWI657502B (zh) 2019-04-21

Similar Documents

Publication Publication Date Title
JP6396699B2 (ja) エッチング方法
US9972503B2 (en) Etching method
JP6230954B2 (ja) エッチング方法
JP2016225437A (ja) エッチング方法
JP6438831B2 (ja) 有機膜をエッチングする方法
US10541147B2 (en) Etching method
JP2017011127A (ja) エッチング方法
US10580655B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
JP2016136606A (ja) エッチング方法
JP2016157793A (ja) エッチング方法
JP6592400B2 (ja) エッチング方法
CN109075068B (zh) 蚀刻方法
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
JP2014175521A (ja) プラズマエッチング方法
JP2019029561A (ja) 多層膜をエッチングする方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170412

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180329

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180731

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180830

R150 Certificate of patent or registration of utility model

Ref document number: 6396699

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250