JP2015038964A5 - - Google Patents

Download PDF

Info

Publication number
JP2015038964A5
JP2015038964A5 JP2014107102A JP2014107102A JP2015038964A5 JP 2015038964 A5 JP2015038964 A5 JP 2015038964A5 JP 2014107102 A JP2014107102 A JP 2014107102A JP 2014107102 A JP2014107102 A JP 2014107102A JP 2015038964 A5 JP2015038964 A5 JP 2015038964A5
Authority
JP
Japan
Prior art keywords
layer
etching
tungsten
processing chamber
containing material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014107102A
Other languages
English (en)
Other versions
JP2015038964A (ja
Filing date
Publication date
Application filed filed Critical
Publication of JP2015038964A publication Critical patent/JP2015038964A/ja
Publication of JP2015038964A5 publication Critical patent/JP2015038964A5/ja
Pending legal-status Critical Current

Links

Description

他の実施形態:
上で開示したプロセス、方法、システム、装置、および組成物は、見やすくするためおよび理解しやすくするために特定の実施形態の文脈で詳細に述べてきたが、本開示の精神の範囲内でこれらのプロセス、方法、システム、装置、および組成物を実施する多くの代替法が存在することが当業者には明らかであろう。したがって、本明細書で述べる実施形態は、限定ではなく、開示された本発明の概念の例示とみなすべきであり、本開示の主題を最終的に明らかにしている任意の請求項の範囲を不当に限定するための基礎として使用することは許されない。本発明は、以下の適用例としても実施可能である。
[適用例1]部分製造された半導体基板の3D構造をタングステン含有材料で充填する方法であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、前記開口を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャに通じており、前記方法が、
前記3D構造を有する基板を処理チャンバに提供するステップと、
前記3D構造内部に前記タングステン含有材料の第1の層を堆積するステップであって、それにより、前記第1の層が、前記3D構造の前記複数の内部領域を部分的に充填するステップと、
前記タングステン含有材料の前記第1の層を堆積した後に垂直にエッチングするステップであって、前記垂直エッチングが、前記複数の内部領域から前記第1の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第1の層の一部を除去することを含むステップと、
前記タングステン含有材料の前記第1の層を堆積した後に水平にエッチングするステップであって、前記水平エッチングが、第2の活性化エッチング材料を使用して、前記複数の内部領域から前記第1の層の一部を除去することを含むステップと、
垂直および水平にエッチングした後に前記3D構造内部に前記タングステン含有材料の第2の層を堆積するステップであって、それにより、前記第2の層が、前記第1の層によって充填されていない前記内部領域の少なくとも一部を充填するステップと
を含む方法。
[適用例2]前記タングステン含有材料の前記第1の層と前記第2の層との前記堆積がどちらも、タングステン含有前駆体と還元剤との反応を含む適用例1に記載の方法。
[適用例3]前記第1の活性化エッチング材料が、イオン種を含み、前記第2の活性化エッチング材料が、中性種を含む適用例1に記載の方法。
[適用例4]前記第2の活性化エッチング材料が、前記3D構造内部での前記第1の活性化エッチング材料の変換により生成される適用例3に記載の方法。
[適用例5]前記第2の活性化エッチング材料への前記第1の活性化エッチング材料の変換が、前記第1の活性化エッチング材料の前記イオン種が1つまたは複数の電子を受け取り、前記第2の活性化エッチング材料の前記中性種に変換することを含む適用例4に記載の方法。
[適用例6]前記イオン種が、NF 3 、CF 4 、CHF 3 、CH 2 2 、およびCH 3 Fの1つまたは複数のイオン化によって前記処理チャンバ内部で生成される適用例5に記載の方法。
[適用例7]前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成されるイオン種を含むプラズマであり、
前記垂直エッチングが、前記イオンの一部が前記3D構造の底部に向けて指向されるように前記イオン種に電磁場を印加するステップを含み、
前記第2の活性化エッチング材料が、前記処理チャンバから離れた位置で生成され、前記処理チャンバ内に導入される遊離基種を含むプラズマである
適用例3に記載の方法。
[適用例8]前記イオン種がフッ素を含み、
前記遊離基種がフッ素を含む
適用例7に記載の方法。
[適用例9]前記複数のフィーチャの少なくとも一部が、互いに実質的に垂直な位置合わせで積層される適用例1から適用例88のいずれか一項に記載の方法。
[適用例10]前記構造が、互いに垂直に位置合わせされた16個以上の垂直に積層されたフィーチャを有する適用例9に記載の方法。
[適用例11]前記構造が、互いに垂直に位置合わせされた64個以上の垂直に積層されたフィーチャを有する適用例10に記載の方法。
[適用例12]前記部分製造された半導体基板が、さらに外層を備え、前記外層が、タングステン堆積を受けやすく、前記外層の上に、タングステン含有材料の前記第1の層が堆積され、前記垂直エッチングが、前記3D構造の前記側壁の少なくとも一部分で前記外層を除去し、それにより、タングステン含有材料の前記第2の層の後続の堆積中にタングステン含有材料が前記部分に実質的に堆積されない適用例1から適用例8のいずれか一項に記載の方法。
[適用例13]タングステン堆積を受けやすい前記外層が、金属窒化物を含む適用例12に記載の方法。
[適用例14]金属窒化物が、窒化チタンである適用例13に記載の方法。
[適用例15]さらに、
前記垂直エッチングの後、しかし前記水平エッチングの前に、前記複数の内部領域の前記露出面の少なくとも一部を洗浄するステップ
を含む適用例1から適用例3、および適用例7から適用例8のいずれか一項に記載の方法。
[適用例16]前記3D構造を有する前記基板が、さらに、複数のポリシリコンピラーを含み、前記ポリシリコンピラーが、前記複数の開口を通した前記複数の内部領域への流体アクセス性を部分的に妨げる適用例1から適用例8のいずれか一項に記載の方法。
[適用例17]前記ポリシリコンピラーの間の前記水平ギャップが、約1〜20nmの間である適用例16に記載の方法。
[適用例18]さらに、
前記処理チャンバにポリマー前駆体を提供するステップと、
前記3D構造の一部分にわたって前記ポリマー前駆体の重合によって保護層を形成するステップであって、前記保護層が、前記垂直エッチングで前記部分がエッチングされる度合いを減少させるステップと
を含む適用例1から適用例3、および適用例7から適用例8のいずれか一項に記載の方法。
[適用例19]前記ポリマー前駆体が、CF 4 、CHF 3 、CH 2 2 、およびCH 3 Fから選択され、
前記ポリマー前駆体を提供するステップが、約30〜40sccmの間の流量で前記処理チャンバ内に前記ポリマー前駆体を流すステップを含む
適用例18に記載の方法。
[適用例20]さらに、NF 3 、CF 4 、CHF 3 、CH 2 2 、およびCH 3 Fの1つまたは複数を約100〜700sccmの間の流量で前記処理チャンバ内に流し、前記処理チャンバ内部でイオン化することによって、前記処理チャンバに前記第1の活性化エッチング材料を提供するステップを含む適用例1から適用例8のいずれか一項に記載の方法。
[適用例21]前記垂直エッチングが、
約10〜120℃の間の温度、および
約50〜90mTorrの間の圧力
で維持された前記処理チャンバを用いて行われ、
それと同時に、タングステン含有材料の前記第1の層の一部を除去する
適用例1から適用例8のいずれか一項に記載の方法。
[適用例22]前記水平エッチングが、
約10〜120℃の間の温度、および
約5mTorr未満の圧力
で維持された前記処理チャンバを用いて行われ、
それと同時に、タングステン含有材料の前記第1の層の一部を除去する
適用例1から適用例8のいずれか一項に記載の方法。
[適用例23]前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成される1つまたは複数のイオン種を含むプラズマであり、
前記プラズマが、約13〜60MHzの間の周波数を有する誘導結合RFパワーによって発生および維持される誘導結合プラズマであり、また、約400kHz〜14MHzの間の周波数を有する基板ビアスRFパワーによって発生および維持される
適用例1から適用例8のいずれか一項に記載の方法。
[適用例24]前記基板ビアスRFパワーが、基板に対して約100〜500ボルトの間の電圧を有する適用例23に記載の方法。
[適用例25]前記基板ビアスRFパワーが、約100Hz〜400Hzの間のレートで、かつ約10%〜60%のデューティサイクルで発振される適用例24に記載の方法。
[適用例26]前記誘導結合RFパワーが、約500〜600ワットの間である適用例23に記載の方法。
[適用例27]前記誘導結合RFパワーが、第1の発生コイルと第2の発生コイルとを備える発生コイルによって発生され、前記第1の発生コイルが、誘導結合RFパワーを前記処理チャンバの外部領域に供給し、前記第2の発生コイルが、誘導結合RFパワーを前記処理チャンバの内部領域に供給し、合計の誘導結合RFパワーが、約0.1〜1.5の間の比で、前記第1の発生コイルと前記第2の発生コイルとの間で分けられる適用例26に記載の方法。
[適用例28]前記水平エッチング中に前記タングステン含有材料の前記第1の層の一部を除去することに対応する前記エッチング速度が、実質的に物質移動により律速される適用例1から適用例8のいずれか一項に記載の方法。
[適用例29]前記タングステン含有材料の前記第2の層が、実質的に空隙を含まずに前記複数の内部領域の内部に堆積される適用例1から適用例8のいずれか一項に記載の方法。
[適用例30]前記垂直エッチングと、前記水平エッチングと、タングステン含有材料の第1および第2の層の前記堆積とが、同一の処理チャンバ内で行われる適用例1から適用例8のいずれか一項に記載の方法。
[適用例31]前記垂直エッチングが、前記水平エッチング、ならびにタングステン含有材料の前記第1および第2の層の前記堆積とは異なる処理チャンバ内で行われる適用例1から適用例8のいずれか一項に記載の方法。
[適用例32]さらに、
前記タングステン含有材料の前記第2の層を垂直にエッチングするステップであって、前記垂直エッチングが、前記複数の内部領域から前記第2の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第2の層の一部を除去することを含むステップと、
タングステン含有材料の前記第2の層を水平にエッチングするステップであって、前記水平エッチングが、第2の活性化エッチング材料を使用して、前記複数の内部領域から前記第2の層の一部を除去することを含むステップと、
第2の層を水平および垂直にエッチングした後に前記3D構造内部に前記タングステン含有材料の第3の層を堆積するステップであって、それにより、前記第3の層が、前記第1および第2の層によって充填されていない前記内部領域の部分の少なくとも一部を充填するステップと
を含む適用例1から適用例8のいずれか一項に記載の方法。
[適用例33]さらに、前記垂直エッチング中に、約500〜2000sccmの流量で不活性希釈ガスを前記処理チャンバに流すステップを含む適用例1から適用例8のいずれか一項に記載の方法。
[適用例34]前記不活性希釈ガスが、He、またはAr、またはそれら両方を含む適用例33に記載の方法。
[適用例35]部分製造された半導体基板の3D構造をタングステン含有材料で充填するための装置であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、複数のフィーチャに通じており、前記複数のフィーチャが、前記複数の開口を通して流体的にアクセス可能な複数の内部域を有し、装置が、
処理チャンバと、
前記3D構造を有する前記基板を保持するように構成された前記処理チャンバ内部の基板ホルダと、
タングステン含有前駆体および還元剤を前記処理チャンバ内に導入するように構成された1つまたは複数のガス入口と、
前記処理チャンバ内部で誘導結合プラズマを発生および維持するように構成された第1および第2の電源とを備え、前記第1の電源が、誘導結合RFパワーを前記プラズマに供給し、前記第2の電源が、基板ビアスRFパワーを前記プラズマに供給し、
装置がさらに、機械可読コードの形態での命令を有する制御装置を備え、前記制御装置が、前記命令を実行するように構成され、前記命令が、
約50〜500sccmの間のタングステン含有前駆体の流量および0〜10000sccmの間の還元剤の流量で、前記処理チャンバ内へのタングステン含有前駆体の流れおよび還元剤の流れを導入するように前記1つまたは複数のガス入口を操作し、それと同時に、約1〜100Torrの間の前記処理チャンバ内部の圧力と、約200〜450℃の間の前記処理チャンバ内部の温度とを維持し、それにより、タングステン含有材料の層が、前記タングステン含有前駆体と前記還元剤との化学反応によって前記3D構造の内部に堆積されるようにするための命令と、
約13〜60MHzの間の周波数で前記第1の電源を動作させ、約500〜600ワットの間のRFパワーを発生させるための命令と、
約400kHz〜14MHzの間の周波数で、かつ前記基板に対して約100〜500ボルトの間の電圧で、約100Hz〜400Hzの間のパルスレートおよび約10%〜60%の間のデューティサイクルで前記第2の電源を動作させるための命令と
を含む装置。
[適用例36]さらに、
前記処理チャンバから離れているが、前記処理チャンバに流体的に結合されたプラズマ発生チャンバ内部で第2のプラズマを発生および維持するように構成された第3の電源を備え、
前記制御装置の前記命令が、さらに、
約400kHz〜27MHzの間の周波数で前記第3の電源を動作させ、約400〜2000ワットの間のRFパワーを発生させるための命令を含む
適用例35に記載の装置。
[適用例37]部分製造された半導体基板の3D構造を充填する方法であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、前記開口を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャに通じており、方法が、
前記3D構造を有する基板を提供するステップであって、前記3D構造が、前記3D構造の側壁および/または前記3D構造の側壁にある開口を少なくとも部分的に覆い、かつ前記複数の内部領域を部分的に充填するタングステン含有材料の第1の層を既に有し、しかし、前記複数の内部領域の一部が、前記第1の層によって充填されていないステップと、
前記複数の内部領域から前記第1の層の一部を実質的に除去することなく、前記側壁および/または前記側壁の開口から前記タングステン含有材料の前記第1の層の一部を除去するために、垂直にエッチングするステップと、
前記複数の内部領域から前記タングステン含有材料の前記第1の層の一部を除去するために、水平にエッチングするステップと
を含む方法。
[適用例38]さらに、水平および垂直にエッチングした後に、前記タングステン含有材料の第2の層を堆積するステップを含む適用例37に記載の方法。
[適用例39]前記垂直エッチングが、第1の活性化エッチング材料を利用し、前記水平エッチングが、前記3D構造内部での前記第1の活性化エッチング材料の変換により生成された第2の活性化エッチング材料を利用する適用例37に記載の方法。
[適用例40]部分製造された半導体基板の3D構造を充填する方法であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、前記開口を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャに通じており、方法が、
前記3次元構造内にタングステン含有材料の第1の層を堆積するステップと、
前記第1の層を堆積した後に、イオンエッチング種を含むプラズマを発生させ、前記イオンの一部が前記構造のベースに向かって下に指向されるように前記イオンに電磁場を印加することによって、垂直にエッチングするステップと、
垂直にエッチングした後に、前記3D構造を有する前記基板を保持する前記処理チャンバから離れた位置で遊離基種を発生し、前記遊離基種を前記処理チャンバ内に導入することによって、水平にエッチングするステップと、
前記水平エッチング後に、前記3次元構造内に前記タングステン含有材料の第2の層を堆積するステップと
を含む方法。
[適用例41]部分製造された半導体基板の3D構造をタングステン含有材料で充填するための装置であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、複数のフィーチャに通じており、前記複数のフィーチャが、前記複数の開口を通して流体的にアクセス可能な複数の内部域を有し、装置が、
処理チャンバと、
前記3D構造を有する前記基板を保持するように構成された前記処理チャンバ内部の基板ホルダと、
タングステン含有前駆体および還元剤を前記処理チャンバ内に導入するように構成された1つまたは複数のガス入口と、
イオン化されたプラズマを前記処理チャンバ内部で発生および維持するように構成された電源と、
前記1つまたは複数のガス入口および電源を動作させるための機械可読コードの形態での命令を有する制御装置とを備え、前記制御装置が、前記命令を実行するように構成され、前記命令が、
前記3D構造内部に前記タングステン含有材料の第1の層を堆積するための命令であって、それにより、前記第1の層が、前記3D構造の前記複数の内部領域を部分的に充填する命令と、
前記タングステン含有材料の前記第1の層を堆積した後に垂直および水平にエッチングするための命令であって、前記垂直エッチングが、前記複数の内部領域から前記第1の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第1の層の一部を除去することを含み、前記水平エッチングが、第2の活性化エッチング材料を使用して前記複数の内部領域から前記第1の層の一部を除去することを含む命令と、
垂直および水平にエッチングした後に前記3D構造内部に前記タングステン含有材料の第2の層を堆積するための命令であって、それにより、前記第2の層が、前記第1の層によって充填されていない前記内部領域の少なくとも一部を充填する命令と
を含む装置。
[適用例42]前記タングステン含有材料の前記第1の層と前記第2の層との前記堆積がどちらも、タングステン含有前駆体と還元剤との反応を含む適用例41に記載の装置。
[適用例43]前記第1の活性化エッチング材料が、イオン種を含み、前記第2の活性化エッチング材料が、中性種を含む適用例41に記載の装置。
[適用例44]前記第2の活性化エッチング材料が、前記3D構造内部での前記第1の活性化エッチング材料の変換により生成される適用例43に記載の装置。
[適用例45]前記第2の活性化エッチング材料への前記第1の活性化エッチング材料の変換が、前記第1の活性化エッチング材料の前記イオン種が1つまたは複数の電子を受け取り、前記第2の活性化エッチング材料の前記中性種に変換することを含む適用例44に記載の装置。
[適用例46]前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成されるイオン種を含むプラズマであり、
前記垂直エッチングが、前記イオンの一部が前記3D構造の底部に向けて指向されるように前記イオン種に電磁場を印加することを含み、
前記第2の活性化エッチング材料が、前記処理チャンバから離れた位置で生成され、前記処理チャンバ内に導入される遊離基種を含むプラズマである
適用例43に記載の装置。
[適用例47]前記部分製造された半導体基板が、さらに外層を備え、前記外層が、タングステン堆積を受けやすく、前記外層の上に、タングステン含有材料の前記第1の層が堆積され、前記垂直エッチングが、前記3D構造の前記側壁の少なくとも一部分で前記外層を除去し、それにより、タングステン含有材料の前記第2の層の後続の堆積中にタングステン含有材料が前記部分に実質的に堆積されない適用例41から適用例46のいずれか一項に記載の装置。
[適用例48]前記制御装置の前記命令が、さらに、前記垂直エッチングの後、しかし前記水平エッチングの前に、前記複数の内部領域の前記露出面の少なくとも一部を洗浄するための命令を含む適用例41から適用例43、および適用例46に記載の装置。
[適用例49]前記制御装置の前記命令が、さらに、
前記処理チャンバ内にポリマー前駆体を流すための命令と、
前記3D構造の一部分にわたって前記ポリマー前駆体の重合によって保護層を形成するための命令とを含み、前記保護層が、前記垂直エッチングで前記部分がエッチングされる度合いを減少させる
適用例41から適用例43、および適用例46に記載の装置。
[適用例50]前記流れが、約30〜40sccmの間の流量である適用例49に記載の装置。
[適用例51]前記制御装置が、さらに、前記第1の活性化エッチング材料の前駆体を約100〜700sccmの間の流量で前記処理チャンバ内に流し、前記処理チャンバ内でイオン化するための命令を含む適用例41から適用例46のいずれか一項に記載の装置。
[適用例52]前記垂直エッチングが、
約10〜120℃の間の温度、および
約50〜90mTorrの間の圧力
で維持された前記処理チャンバを用いて行われ、
それと同時に、タングステン含有材料の前記第1の層の一部を除去する
適用例41から適用例46のいずれか一項に記載の装置。
[適用例53]前記水平エッチングが、
約10〜120℃の間の温度、および
約5mTorr未満の圧力
で維持された前記処理チャンバを用いて行われ、
それと同時に、タングステン含有材料の前記第1の層の一部を除去する
適用例41から適用例46のいずれか一項に記載の装置。
[適用例54]前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成される1つまたは複数のイオン種を含むプラズマであり、
前記プラズマが、約13〜60MHzの間の周波数を有する誘導結合RFパワーによって発生および維持される誘導結合プラズマであり、また、約400kHz〜14MHzの間の周波数を有する基板ビアスRFパワーによって発生および維持される
適用例41に記載の装置。
[適用例55]前記基板ビアスRFパワーが、基板に対して約100〜500ボルトの間の電圧を有する適用例54に記載の装置。
[適用例56]前記基板ビアスRFパワーが、約100Hz〜400Hzの間のレートで、かつ約10%〜60%のデューティサイクルで発振される適用例55に記載の装置。
[適用例57]前記誘導結合RFパワーが、約500〜600ワットの間である適用例54に記載の装置。
[適用例58]前記誘導結合RFパワーが、第1の発生コイルと第2の発生コイルとを備える発生コイルによって発生され、前記第1の発生コイルが、誘導結合RFパワーを前記処理チャンバの外部領域に供給し、前記第2の発生コイルが、誘導結合RFパワーを前記処理チャンバの内部領域に供給し、合計の誘導結合RFパワーが、約0.1〜1.5の間の比で、前記第1の発生コイルと前記第2の発生コイルとの間で分けられる適用例57に記載の装置。
[適用例59]前記水平エッチング中に前記タングステン含有材料の前記第1の層の一部を除去することに対応する前記エッチング速度が、実質的に物質異同により律速される適用例41から適用例46のいずれか一項に記載の装置。
[適用例60]前記タングステン含有材料の前記第2の層が、実質的に空隙を含まずに前記複数の内部領域の内部に堆積される適用例41から適用例46のいずれか一項に記載の装置。
[適用例61]前記制御装置の前記命令が、さらに、
タングステン含有材料の前記第2の層を垂直および水平にエッチングするための命令であって、前記垂直エッチングが、前記複数の内部領域から前記第2の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第2の層の一部を除去することを含み、前記水平エッチングが、第2の活性化エッチング材料を使用して前記複数の内部領域から前記第2の層の一部を除去することを含む命令と、
前記第2の層を水平および垂直にエッチングした後に前記3D構造内部に前記タングステン含有材料の第3の層を堆積するための命令とを含み、それにより、前記第3の層が、前記第1および第2の層によって充填されていない前記内部領域の部分の少なくとも一部を充填する
適用例41から適用例46のいずれか一項に記載の装置。
[適用例62]前記制御装置の前記命令が、さらに、
前記垂直エッチング中に、約500〜2000sccmの流量で不活性希釈ガスを前記処理チャンバに流すための命令
を含む適用例41から適用例46のいずれか一項に記載の装置。

Claims (62)

  1. 部分製造された半導体基板の3D構造をタングステン含有材料で充填する方法であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、前記開口を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャに通じており、前記方法が、
    前記3D構造を有する基板を処理チャンバに提供するステップと、
    前記3D構造内部に前記タングステン含有材料の第1の層を堆積するステップであって、それにより、前記第1の層が、前記3D構造の前記複数の内部領域を部分的に充填するステップと、
    前記タングステン含有材料の前記第1の層を堆積した後に垂直にエッチングするステップであって、前記垂直エッチングが、前記複数の内部領域から前記第1の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第1の層の一部を除去することを含むステップと、
    前記タングステン含有材料の前記第1の層を堆積した後に水平にエッチングするステップであって、前記水平エッチングが、第2の活性化エッチング材料を使用して、前記複数の内部領域から前記第1の層の一部を除去することを含むステップと、
    垂直および水平にエッチングした後に前記3D構造内部に前記タングステン含有材料の第2の層を堆積するステップであって、それにより、前記第2の層が、前記第1の層によって充填されていない前記内部領域の少なくとも一部を充填するステップと
    を含む方法。
  2. 前記タングステン含有材料の前記第1の層と前記第2の層との前記堆積がどちらも、タングステン含有前駆体と還元剤との反応を含む請求項1に記載の方法。
  3. 前記第1の活性化エッチング材料が、イオン種を含み、前記第2の活性化エッチング材料が、中性種を含む請求項1に記載の方法。
  4. 前記第2の活性化エッチング材料が、前記3D構造内部での前記第1の活性化エッチング材料の変換により生成される請求項3に記載の方法。
  5. 前記第2の活性化エッチング材料への前記第1の活性化エッチング材料の変換が、前記第1の活性化エッチング材料の前記イオン種が1つまたは複数の電子を受け取り、前記第2の活性化エッチング材料の前記中性種に変換することを含む請求項4に記載の方法。
  6. 前記イオン種が、NF3、CF4、CHF3、CH22、およびCH3Fの1つまたは複数のイオン化によって前記処理チャンバ内部で生成される請求項5に記載の方法。
  7. 前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成されるイオン種を含むプラズマであり、
    前記垂直エッチングが、前記イオンの一部が前記3D構造の底部に向けて指向されるように前記イオン種に電磁場を印加するステップを含み、
    前記第2の活性化エッチング材料が、前記処理チャンバから離れた位置で生成され、前記処理チャンバ内に導入される遊離基種を含むプラズマである
    請求項3に記載の方法。
  8. 前記イオン種がフッ素を含み、
    前記遊離基種がフッ素を含む
    請求項7に記載の方法。
  9. 前記複数のフィーチャの少なくとも一部が、互いに実質的に垂直な位置合わせで積層される請求項1から請求項8のいずれか一項に記載の方法。
  10. 前記3D構造が、互いに垂直に位置合わせされた16個以上の垂直に積層されたフィーチャを有する請求項9に記載の方法。
  11. 前記構造が、互いに垂直に位置合わせされた64個以上の垂直に積層されたフィーチャを有する請求項10に記載の方法。
  12. 前記部分製造された半導体基板が、さらに外層を備え、前記外層が、タングステン堆積を受けやすく、前記外層の上に、タングステン含有材料の前記第1の層が堆積され、前記垂直エッチングが、前記3D構造の前記側壁の少なくとも一部分で前記外層を除去し、それにより、タングステン含有材料の前記第2の層の後続の堆積中にタングステン含有材料が前記一部分に実質的に堆積されない請求項1から請求項8のいずれか一項に記載の方法。
  13. タングステン堆積を受けやすい前記外層が、金属窒化物を含む請求項12に記載の方法。
  14. 金属窒化物が、窒化チタンである請求項13に記載の方法。
  15. さらに、
    前記垂直エッチングの後、しかし前記水平エッチングの前に、前記複数の内部領域の露出面の少なくとも一部を洗浄するステップ
    を含む請求項1から請求項3、および請求項7から請求項8のいずれか一項に記載の方法。
  16. 前記3D構造を有する前記基板が、さらに、互いの間に水平ギャップを備える複数のポリシリコンピラーを含み、前記ポリシリコンピラーが、前記複数の開口を通した前記複数の内部領域への流体アクセス性を部分的に妨げる請求項1から請求項8のいずれか一項に記載の方法。
  17. 前記複数のポリシリコンピラーの間の前記水平ギャップが、約1〜20nmである請求項16に記載の方法。
  18. さらに、
    前記処理チャンバにポリマー前駆体を提供するステップと、
    前記3D構造の一部分にわたって前記ポリマー前駆体の重合によって保護層を形成するステップであって、前記保護層が、前記垂直エッチングで前記一部分がエッチングされる度合いを減少させるステップと
    を含む請求項1から請求項3、および請求項7から請求項8のいずれか一項に記載の方法。
  19. 前記ポリマー前駆体が、CF4、CHF3、CH22、およびCH3Fから選択され、
    前記ポリマー前駆体を提供するステップが、約30〜40sccmの間の流量で前記処理チャンバ内に前記ポリマー前駆体を流すステップを含む
    請求項18に記載の方法。
  20. さらに、NF3、CF4、CHF3、CH22、およびCH3Fの1つまたは複数を約100〜700sccmの間の流量で前記処理チャンバ内に流し、前記処理チャンバ内部でイオン化することによって、前記処理チャンバに前記第1の活性化エッチング材料を提供するステップを含む請求項1から請求項8のいずれか一項に記載の方法。
  21. 前記垂直エッチングが、
    約10〜120℃の間の温度、および
    約50〜90mTorrの間の圧力
    で維持された前記処理チャンバを用いて行われ、
    それと同時に、タングステン含有材料の前記第1の層の一部を除去する
    請求項1から請求項8のいずれか一項に記載の方法。
  22. 前記水平エッチングが、
    約10〜120℃の間の温度、および
    約5mTorr未満の圧力
    で維持された前記処理チャンバを用いて行われ、
    それと同時に、タングステン含有材料の前記第1の層の一部を除去する
    請求項1から請求項8のいずれか一項に記載の方法。
  23. 前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成される1つまたは複数のイオン種を含むプラズマであり、
    前記プラズマが、約13〜60MHzの間の周波数を有する誘導結合RFパワーによって発生および維持される誘導結合プラズマであり、また、約400kHz〜14MHzの間の周波数を有する基板ビアスRFパワーによって発生および維持される
    請求項1から請求項8のいずれか一項に記載の方法。
  24. 前記基板ビアスRFパワーが、基板に対して約100〜500ボルトの間の電圧を有する請求項23に記載の方法。
  25. 前記基板ビアスRFパワーが、約100Hz〜400Hzの間のレートで、かつ約10%〜60%のデューティサイクルで発振される請求項24に記載の方法。
  26. 前記誘導結合RFパワーが、約500〜600ワットの間である請求項23に記載の方法。
  27. 前記誘導結合RFパワーが、第1の発生コイルと第2の発生コイルとを備える発生コイルによって発生され、前記第1の発生コイルが、誘導結合RFパワーを前記処理チャンバの外部領域に供給し、前記第2の発生コイルが、誘導結合RFパワーを前記処理チャンバの内部領域に供給し、合計の誘導結合RFパワーが、約0.1〜1.5の間の比で、前記第1の発生コイルと前記第2の発生コイルとの間で分けられる請求項26に記載の方法。
  28. 前記水平エッチング中に前記タングステン含有材料の前記第1の層の一部を除去することに対応する前記エッチング速度が、実質的に物質移動により律速される請求項1から請求項8のいずれか一項に記載の方法。
  29. 前記タングステン含有材料の前記第2の層が、実質的に空隙を含まずに前記複数の内部領域の内部に堆積される請求項1から請求項8のいずれか一項に記載の方法。
  30. 前記垂直エッチングと、前記水平エッチングと、タングステン含有材料の第1および第2の層の前記堆積とが、同一の処理チャンバ内で行われる請求項1から請求項8のいずれか一項に記載の方法。
  31. 前記垂直エッチングが、前記水平エッチング、ならびにタングステン含有材料の前記第1および第2の層の前記堆積とは異なる処理チャンバ内で行われる請求項1から請求項8のいずれか一項に記載の方法。
  32. さらに、
    前記タングステン含有材料の前記第2の層を垂直にエッチングするステップであって、前記垂直エッチングが、前記複数の内部領域から前記第2の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第2の層の一部を除去することを含むステップと、
    タングステン含有材料の前記第2の層を水平にエッチングするステップであって、前記水平エッチングが、第2の活性化エッチング材料を使用して、前記複数の内部領域から前記第2の層の一部を除去することを含むステップと、
    第2の層を水平および垂直にエッチングした後に前記3D構造内部に前記タングステン含有材料の第3の層を堆積するステップであって、それにより、前記第3の層が、前記第1および第2の層によって充填されていない前記内部領域の部分の少なくとも一部を充填するステップと
    を含む請求項1から請求項8のいずれか一項に記載の方法。
  33. さらに、前記垂直エッチング中に、約500〜2000sccmの流量で不活性希釈ガスを前記処理チャンバに流すステップを含む請求項1から請求項8のいずれか一項に記載の方法。
  34. 前記不活性希釈ガスが、He、またはAr、またはそれら両方を含む請求項33に記載の方法。
  35. 部分製造された半導体基板の3D構造をタングステン含有材料で充填するための装置であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、複数のフィーチャに通じており、前記複数のフィーチャが、前記複数の開口を通して流体的にアクセス可能な複数の内部域を有し、装置が、
    処理チャンバと、
    前記3D構造を有する前記半導体基板を保持するように構成された前記処理チャンバ内部の基板ホルダと、
    タングステン含有前駆体および還元剤を前記処理チャンバ内に導入するように構成された1つまたは複数のガス入口と、
    前記処理チャンバ内部で誘導結合プラズマを発生および維持するように構成された第1および第2の電源とを備え、前記第1の電源が、誘導結合RFパワーを前記誘導結合プラズマに供給し、前記第2の電源が、基板ビアスRFパワーを前記誘導結合プラズマに供給し、
    装置がさらに、機械可読コードの形態での命令を有する制御装置を備え、前記制御装置が、前記命令を実行するように構成され、前記命令が、
    約50〜500sccmの間のタングステン含有前駆体の流量および0〜10000sccmの間の還元剤の流量で、前記処理チャンバ内へのタングステン含有前駆体の流れおよび還元剤の流れを導入するように前記1つまたは複数のガス入口を操作し、それと同時に、約1〜100Torrの間の前記処理チャンバ内部の圧力と、約200〜450℃の間の前記処理チャンバ内部の温度とを維持し、それにより、タングステン含有材料の層が、前記タングステン含有前駆体と前記還元剤との化学反応によって前記3D構造の内部に堆積されるようにするための命令と、
    約13〜60MHzの間の周波数で前記第1の電源を動作させ、約500〜600ワットの間のRFパワーを発生させるための命令と、
    約400kHz〜14MHzの間の周波数で、かつ前記半導体基板に対して約100〜500ボルトの間の電圧で、約100Hz〜400Hzの間のパルスレートおよび約10%〜60%の間のデューティサイクルで前記第2の電源を動作させるための命令と
    を含む装置。
  36. さらに、
    前記処理チャンバから離れているが、前記処理チャンバに流体的に結合されたプラズマ発生チャンバ内部で第2のプラズマを発生および維持するように構成された第3の電源を備え、
    前記制御装置の前記命令が、さらに、
    約400kHz〜27MHzの間の周波数で前記第3の電源を動作させ、約400〜2000ワットの間のRFパワーを発生させるための命令を含む
    請求項35に記載の装置。
  37. 部分製造された半導体基板の3D構造を充填する方法であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、前記開口を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャに通じており、方法が、
    前記3D構造を有する基板を提供するステップであって、前記3D構造が、前記3D構造の側壁および/または前記3D構造の側壁にある開口を少なくとも部分的に覆い、かつ前記複数の内部領域を部分的に充填するタングステン含有材料の第1の層を既に有し、しかし、前記複数の内部領域の一部が、前記第1の層によって充填されていないステップと、
    前記複数の内部領域から前記第1の層の一部を実質的に除去することなく、前記側壁および/または前記側壁の開口から前記タングステン含有材料の前記第1の層の一部を除去するために、垂直にエッチングするステップと、
    前記複数の内部領域から前記タングステン含有材料の前記第1の層の一部を除去するために、水平にエッチングするステップと
    を含む方法。
  38. さらに、水平および垂直にエッチングした後に、前記タングステン含有材料の第2の層を堆積するステップを含む請求項37に記載の方法。
  39. 前記垂直エッチングが、第1の活性化エッチング材料を利用し、前記水平エッチングが、前記3D構造内部での前記第1の活性化エッチング材料の変換により生成された第2の活性化エッチング材料を利用する請求項37に記載の方法。
  40. 部分製造された半導体基板の3D構造を充填する方法であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、前記開口を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャに通じており、方法が、
    前記3D構造内にタングステン含有材料の第1の層を堆積するステップと、
    前記第1の層を堆積した後に、イオンエッチング種を含むプラズマを発生させ、前記イオンの一部が前記3D構造のベースに向かって下に指向されるように前記イオンに電磁場を印加することによって、垂直にエッチングするステップと、
    垂直にエッチングした後に、前記3D構造を有する前記半導体基板を保持する処理チャンバから離れた位置で遊離基種を発生し、前記遊離基種を前記処理チャンバ内に導入することによって、水平にエッチングするステップと、
    前記水平エッチング後に、前記3D構造内に前記タングステン含有材料の第2の層を堆積するステップと
    を含む方法。
  41. 部分製造された半導体基板の3D構造をタングステン含有材料で充填するための装置であって、前記3D構造が側壁を備え、前記側壁にある複数の開口が、複数のフィーチャに通じており、前記複数のフィーチャが、前記複数の開口を通して流体的にアクセス可能な複数の内部域を有し、装置が、
    処理チャンバと、
    前記3D構造を有する前記半導体基板を保持するように構成された前記処理チャンバ内部の基板ホルダと、
    タングステン含有前駆体および還元剤を前記処理チャンバ内に導入するように構成された1つまたは複数のガス入口と、
    イオン化されたプラズマを前記処理チャンバ内部で発生および維持するように構成された電源と、
    前記1つまたは複数のガス入口および電源を動作させるための機械可読コードの形態での命令を有する制御装置とを備え、前記制御装置が、前記命令を実行するように構成され、前記命令が、
    前記3D構造内部に前記タングステン含有材料の第1の層を堆積するための命令であって、それにより、前記第1の層が、前記3D構造の前記複数の内部領域を部分的に充填する命令と、
    前記タングステン含有材料の前記第1の層を堆積した後に垂直および水平にエッチングするための命令であって、前記垂直エッチングが、前記複数の内部領域から前記第1の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第1の層の一部を除去することを含み、前記水平エッチングが、第2の活性化エッチング材料を使用して前記複数の内部領域から前記第1の層の一部を除去することを含む命令と、
    垂直および水平にエッチングした後に前記3D構造内部に前記タングステン含有材料の第2の層を堆積するための命令であって、それにより、前記第2の層が、前記第1の層によって充填されていない前記内部領域の少なくとも一部を充填する命令と
    を含む装置。
  42. 前記タングステン含有材料の前記第1の層と前記第2の層との前記堆積がどちらも、タングステン含有前駆体と還元剤との反応を含む請求項41に記載の装置。
  43. 前記第1の活性化エッチング材料が、イオン種を含み、前記第2の活性化エッチング材料が、中性種を含む請求項41に記載の装置。
  44. 前記第2の活性化エッチング材料が、前記3D構造内部での前記第1の活性化エッチング材料の変換により生成される請求項43に記載の装置。
  45. 前記第2の活性化エッチング材料への前記第1の活性化エッチング材料の変換が、前記第1の活性化エッチング材料の前記イオン種が1つまたは複数の電子を受け取り、前記第2の活性化エッチング材料の前記中性種に変換することを含む請求項44に記載の装置。
  46. 前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成されるイオン種を含むプラズマであり、
    前記垂直エッチングが、前記イオンの一部が前記3D構造の底部に向けて指向されるように前記イオン種に電磁場を印加することを含み、
    前記第2の活性化エッチング材料が、前記処理チャンバから離れた位置で生成され、前記処理チャンバ内に導入される遊離基種を含むプラズマである
    請求項43に記載の装置。
  47. 前記部分製造された半導体基板が、さらに外層を備え、前記外層が、タングステン堆積を受けやすく、前記外層の上に、タングステン含有材料の前記第1の層が堆積され、前記垂直エッチングが、前記3D構造の前記側壁の少なくとも一部分で前記外層を除去し、それにより、タングステン含有材料の前記第2の層の後続の堆積中にタングステン含有材料が前記一部分に実質的に堆積されない請求項41から請求項46のいずれか一項に記載の装置。
  48. 前記制御装置の前記命令が、さらに、前記垂直エッチングの後、しかし前記水平エッチングの前に、前記複数の内部領域の露出面の少なくとも一部を洗浄するための命令を含む請求項41から請求項43、および請求項46のいずれか一項に記載の装置。
  49. 前記制御装置の前記命令が、さらに、
    前記処理チャンバ内にポリマー前駆体を流すための命令と、
    前記3D構造の一部分にわたって前記ポリマー前駆体の重合によって保護層を形成するための命令とを含み、前記保護層が、前記垂直エッチングで前記一部分がエッチングされる度合いを減少させる
    請求項41から請求項43、および請求項46のいずれか一項に記載の装置。
  50. 前記流れが、約30〜40sccmの間の流量である請求項49に記載の装置。
  51. 前記制御装置が、さらに、前記第1の活性化エッチング材料の前駆体を約100〜700sccmの間の流量で前記処理チャンバ内に流し、前記処理チャンバ内でイオン化するための命令を含む請求項41から請求項46のいずれか一項に記載の装置。
  52. 前記垂直エッチングが、
    約10〜120℃の間の温度、および
    約50〜90mTorrの間の圧力
    で維持された前記処理チャンバを用いて行われ、
    それと同時に、タングステン含有材料の前記第1の層の一部を除去する
    請求項41から請求項46のいずれか一項に記載の装置。
  53. 前記水平エッチングが、
    約10〜120℃の間の温度、および
    約5mTorr未満の圧力
    で維持された前記処理チャンバを用いて行われ、
    それと同時に、タングステン含有材料の前記第1の層の一部を除去する
    請求項41から請求項46のいずれか一項に記載の装置。
  54. 前記第1の活性化エッチング材料が、前記処理チャンバ内部で生成される1つまたは複数のイオン種を含むプラズマであり、
    前記プラズマが、約13〜60MHzの間の周波数を有する誘導結合RFパワーによって発生および維持される誘導結合プラズマであり、また、約400kHz〜14MHzの間の周波数を有する基板ビアスRFパワーによって発生および維持される
    請求項41に記載の装置。
  55. 前記基板ビアスRFパワーが、基板に対して約100〜500ボルトの間の電圧を有する請求項54に記載の装置。
  56. 前記基板ビアスRFパワーが、約100Hz〜400Hzの間のレートで、かつ約10%〜60%のデューティサイクルで発振される請求項55に記載の装置。
  57. 前記誘導結合RFパワーが、約500〜600ワットの間である請求項54に記載の装置。
  58. 前記誘導結合RFパワーが、第1の発生コイルと第2の発生コイルとを備える発生コイルによって発生され、前記第1の発生コイルが、誘導結合RFパワーを前記処理チャンバの外部領域に供給し、前記第2の発生コイルが、誘導結合RFパワーを前記処理チャンバの内部領域に供給し、合計の誘導結合RFパワーが、約0.1〜1.5の間の比で、前記第1の発生コイルと前記第2の発生コイルとの間で分けられる請求項57に記載の装置。
  59. 前記水平エッチング中に前記タングステン含有材料の前記第1の層の一部を除去することに対応する前記エッチングの速度が、実質的に物質異同により律速される請求項41から請求項46のいずれか一項に記載の装置。
  60. 前記タングステン含有材料の前記第2の層が、実質的に空隙を含まずに前記複数の内部領域の内部に堆積される請求項41から請求項46のいずれか一項に記載の装置。
  61. 前記制御装置の前記命令が、さらに、
    タングステン含有材料の前記第2の層を垂直および水平にエッチングするための命令であって、前記垂直エッチングが、前記複数の内部領域から前記第2の層の一部を実質的に除去することなく、第1の活性化エッチング材料を使用して前記側壁から前記第2の層の一部を除去することを含み、前記水平エッチングが、第2の活性化エッチング材料を使用して前記複数の内部領域から前記第2の層の一部を除去することを含む命令と、
    前記第2の層を水平および垂直にエッチングした後に前記3D構造内部に前記タングステン含有材料の第3の層を堆積するための命令とを含み、それにより、前記第3の層が、前記第1および第2の層によって充填されていない前記内部領域の部分の少なくとも一部を充填する
    請求項41から請求項46のいずれか一項に記載の装置。
  62. 前記制御装置の前記命令が、さらに、
    前記垂直エッチング中に、約500〜2000sccmの流量で不活性希釈ガスを前記処理チャンバに流すための命令
    を含む請求項41から請求項46のいずれか一項に記載の装置。
JP2014107102A 2013-05-24 2014-05-23 3次元半導体フィーチャ内での空隙を含まないタングステン充填のための方法および装置 Pending JP2015038964A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361827511P 2013-05-24 2013-05-24
US61/827,511 2013-05-24

Publications (2)

Publication Number Publication Date
JP2015038964A JP2015038964A (ja) 2015-02-26
JP2015038964A5 true JP2015038964A5 (ja) 2017-07-06

Family

ID=51935639

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014107102A Pending JP2015038964A (ja) 2013-05-24 2014-05-23 3次元半導体フィーチャ内での空隙を含まないタングステン充填のための方法および装置

Country Status (4)

Country Link
US (1) US9082826B2 (ja)
JP (1) JP2015038964A (ja)
KR (1) KR20140138092A (ja)
SG (1) SG10201402625UA (ja)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) * 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170062286A1 (en) * 2015-09-01 2017-03-02 Kabushiki Kaisha Toshiba Semiconductor manufacturing method and semiconductor manufacturing apparatus
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
US9735151B1 (en) 2016-03-24 2017-08-15 Western Digital Technologies, Inc. 3D cross-point memory device
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6751631B2 (ja) 2016-09-13 2020-09-09 東京エレクトロン株式会社 基板の凹部をタングステンで充填する方法
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7224335B2 (ja) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション モリブデンを含有する低抵抗膜
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) * 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR102414511B1 (ko) 2017-08-02 2022-06-30 삼성전자주식회사 3차원 반도체 소자
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10014180B1 (en) 2017-08-21 2018-07-03 Globalfoundries Inc. Tungsten gate and method for forming
KR102424993B1 (ko) * 2017-09-11 2022-07-25 에스케이하이닉스 주식회사 반도체 장치의 제조방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10170493B1 (en) * 2017-12-20 2019-01-01 Micron Technology, Inc. Assemblies having vertically-stacked conductive structures
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102614728B1 (ko) 2018-04-04 2023-12-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
SG11202108725XA (en) * 2019-02-13 2021-09-29 Lam Res Corp Tungsten feature fill with inhibition control
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11189633B2 (en) 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same
US11849581B2 (en) 2020-10-06 2023-12-19 Micron Technology, Inc. Electronic devices with recessed conductive structures

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) * 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) * 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7795137B2 (en) * 2005-08-26 2010-09-14 Hitachi, Ltd. Manufacturing method of semiconductor device
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP2012151187A (ja) * 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
JP5829926B2 (ja) * 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features

Similar Documents

Publication Publication Date Title
JP2015038964A5 (ja)
US10763083B2 (en) High energy atomic layer etching
KR102574582B1 (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
KR102272941B1 (ko) 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름
KR102361782B1 (ko) 에칭 방법
TWI624870B (zh) 用於蝕刻速率一致性的方法
KR102496626B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US20150206764A1 (en) Titanium oxide etch
JP7168741B2 (ja) 選択的表面改質を利用する構造の充填技術
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP2016066794A5 (ja)
JP6529357B2 (ja) エッチング方法
TW201626503A (zh) 具有成核抑制的特徵部填充
KR102215970B1 (ko) 산할로겐화물을 사용한 원자층 에칭
US20150170932A1 (en) Etching method
JP6504989B2 (ja) エッチング方法
US10128085B2 (en) Method of plasma etching
TW201436026A (zh) 鎢蝕刻之方法
JP6833657B2 (ja) 基板をプラズマエッチングする方法
JP6553391B2 (ja) エッチング方法
KR20170053134A (ko) 피처리체를 처리하는 방법
TWI689989B (zh) 單層膜介導的精密材料蝕刻
US11328909B2 (en) Chamber conditioning and removal processes