JP2012517684A5 - - Google Patents

Download PDF

Info

Publication number
JP2012517684A5
JP2012517684A5 JP2011550109A JP2011550109A JP2012517684A5 JP 2012517684 A5 JP2012517684 A5 JP 2012517684A5 JP 2011550109 A JP2011550109 A JP 2011550109A JP 2011550109 A JP2011550109 A JP 2011550109A JP 2012517684 A5 JP2012517684 A5 JP 2012517684A5
Authority
JP
Japan
Prior art keywords
cathode
condition
indirectly heated
corrective action
xef
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011550109A
Other languages
English (en)
Other versions
JP5433025B2 (ja
JP2012517684A (ja
Filing date
Publication date
Priority claimed from PCT/US2009/033754 external-priority patent/WO2009102762A2/en
Application filed filed Critical
Priority claimed from PCT/US2009/053520 external-priority patent/WO2010093380A1/en
Publication of JP2012517684A publication Critical patent/JP2012517684A/ja
Publication of JP2012517684A5 publication Critical patent/JP2012517684A5/ja
Application granted granted Critical
Publication of JP5433025B2 publication Critical patent/JP5433025B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (8)

  1. イオンインプランテーションシステム内の間接加熱カソードソースの状態を制御する方法であって、
    (a)予め定められた時間におけるカソードバイアス電力を測定することで、前記間接加熱カソードソースの消費電力を決定することと、
    (b)前記予め定められた時間における前記消費電力と初期電力とを比較することと、(c)この比較に応じて、前記間接加熱カソードの状態を制御するために(i)または(ii)の修正動作を行うこととを備え、
    (i)の修正動作は、もし前記予め定められた時間の前記消費電力が前記初期電力よりも高ければ、前記間接加熱カソードをエッチングすることであり、
    (ii)の修正動作は、もし前記予め定められた時間の前記消費電力が前記初期電力よりも低ければ、前記間接加熱カソードを再生することである、方法。
  2. (c)(i)の修正動作におけるエッチングは、エッチングに十分となるような低から中温度条件下で、前記間接加熱カソードを操作することを含む、請求項1に記載の方法。
  3. (c)(ii)の修正動作における再生は、前記間接加熱カソード上にプラズマ状態でフッ素化ガスを流すことを含む、請求項1または2に記載の方法。
  4. 前記フッ素化ガスは、XeF、XeF、XeF、GeF、SiF、BF、AsF、AsF、PF、PF、F、TaF、TaF、WF、WF、WF、NF、IF、IF、KrF、SF、C、CF、ClF、N、N、NF、NFH、NHF、BrF、C、C、C、CHF、CH、CHF、COF、HF、CHF、C、C、C、CF、CおよびMoFのうち、1または複数を含む、請求項3に記載の方法。
  5. 前記フッ素化ガスは、XeFおよびNのうち1または複数を含む、請求項4に記載の方法。
  6. (c)(ii)の修正動作の再生は、金属堆積が起こるのに十分な高温条件下で、前記間接加熱カソードを操作することを含む、請求項1から5の何れか1項に記載の方法。
  7. イオンソースのアークチャンバ内にカソードを備えるイオンインプランテーションシステムを動作させて、前記イオンソースの動作効率を維持する方法であって、
    (a)および(b)からなるグループから選択される条件下で、前記カソードとタングステン試薬とを接触させることを含み、
    (a)の条件は、前記カソード上にタングステンの堆積を生じさせるような条件であり、
    (b)の条件は、堆積された材料が前記カソードからエッチングされるような条件であり、
    前記接触させることは、予め定められた範囲内に前記カソードの消費電力を維持するスケジュールによって実行される方法。
  8. 1または複数の部材からイオン化に関連した堆積物を少なくとも部分的に除去するために、イオンインプランテーションシステムの当該1または複数の部材をクリーニングする方法であって、
    (a)および(b)からなるグループから選択される条件下でシステムを通してクリーニングガスを流すことを含み、
    (a)の条件は、カソード上に材料の堆積が生じるような条件であり、
    (b)の条件は、前記カソードから堆積された材料のエッチングが生じるような条件であり、
    前記クリーニングガスはXeF を含み、前記クリーニングガスは、オペレーション中の漏れ電流を予め定められた限界未満に維持するのに十分な間隔を置いて、前記システムを通して流される、方法。
JP2011550109A 2008-02-11 2009-08-12 半導体プロセスシステムにおけるイオンソース(イオン源)のクリーニングおよびイオンインプランテーションシステム Active JP5433025B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US2782408P 2008-02-11 2008-02-11
PCT/US2009/033754 WO2009102762A2 (en) 2008-02-11 2009-02-11 Ion source cleaning in semiconductor processing systems
USPCT/US2009/033754 2009-02-11
PCT/US2009/053520 WO2010093380A1 (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013253486A Division JP5686423B2 (ja) 2008-02-11 2013-12-06 半導体プロセスシステムにおけるイオンソース(イオン源)のクリーニングおよびイオンインプランテーションシステム

Publications (3)

Publication Number Publication Date
JP2012517684A JP2012517684A (ja) 2012-08-02
JP2012517684A5 true JP2012517684A5 (ja) 2012-09-27
JP5433025B2 JP5433025B2 (ja) 2014-03-05

Family

ID=40957467

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2010546872A Pending JP2011512015A (ja) 2008-02-11 2009-02-11 半導体処理システムにおけるイオン源の洗浄
JP2011550109A Active JP5433025B2 (ja) 2008-02-11 2009-08-12 半導体プロセスシステムにおけるイオンソース(イオン源)のクリーニングおよびイオンインプランテーションシステム
JP2013253486A Active JP5686423B2 (ja) 2008-02-11 2013-12-06 半導体プロセスシステムにおけるイオンソース(イオン源)のクリーニングおよびイオンインプランテーションシステム
JP2014220215A Expired - Fee Related JP6208109B2 (ja) 2008-02-11 2014-10-29 イオン注入システムの性能を改善し、寿命を延ばす方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010546872A Pending JP2011512015A (ja) 2008-02-11 2009-02-11 半導体処理システムにおけるイオン源の洗浄

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2013253486A Active JP5686423B2 (ja) 2008-02-11 2013-12-06 半導体プロセスシステムにおけるイオンソース(イオン源)のクリーニングおよびイオンインプランテーションシステム
JP2014220215A Expired - Fee Related JP6208109B2 (ja) 2008-02-11 2014-10-29 イオン注入システムの性能を改善し、寿命を延ばす方法

Country Status (8)

Country Link
US (2) US20110259366A1 (ja)
EP (1) EP2248153B1 (ja)
JP (4) JP2011512015A (ja)
KR (3) KR20110005683A (ja)
CN (1) CN101981661A (ja)
SG (1) SG188150A1 (ja)
TW (3) TWI494975B (ja)
WO (1) WO2009102762A2 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
CN102747336A (zh) 2006-04-26 2012-10-24 高级技术材料公司 半导体加工系统的清洁方法和装置
EP2248153B1 (en) * 2008-02-11 2016-09-21 Entegris, Inc. Ion source cleaning in semiconductor processing systems
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
US20110021011A1 (en) * 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
TWI386983B (zh) * 2010-02-26 2013-02-21 Advanced Tech Materials 用以增進離子植入系統中之離子源的壽命及性能之方法與設備
SG10201507319XA (en) * 2010-09-15 2015-10-29 Praxair Technology Inc Method for extending lifetime of an ion source
US9984855B2 (en) * 2010-11-17 2018-05-29 Axcelis Technologies, Inc. Implementation of co-gases for germanium and boron ion implants
US9805912B2 (en) 2010-11-17 2017-10-31 Axcelis Technologies, Inc. Hydrogen COGas for carbon implant
RU2522662C2 (ru) * 2011-08-03 2014-07-20 Федеральное государственное бюджетное учреждение "Государственный научный центр Российской Федерации - Институт Теоретической и Экспериментальной Физики" (ФГБУ "ГНЦ РФ ИТЭФ") Способ нерпрерываемого производства пучка ионов карборана с постоянной самоочисткой ионного источника и компонент системы экстракции ионного имплантатора
EP3267470A3 (en) * 2012-02-14 2018-04-18 Entegris, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9093372B2 (en) 2012-03-30 2015-07-28 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate
US9396902B2 (en) * 2012-05-22 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Gallium ION source and materials therefore
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation
US20130341761A1 (en) * 2012-06-20 2013-12-26 Ashwini K. Sinha Methods for extending ion source life and improving ion source performance during carbon implantation
CN103785647A (zh) * 2012-10-26 2014-05-14 上海华虹宏力半导体制造有限公司 离子注入设备自动清洁离子腔体以提高部件寿命的方法
CN108675273A (zh) 2012-12-21 2018-10-19 普莱克斯技术有限公司 用于碳离子注入的掺杂物组合物的储存和负压输送
JP5950855B2 (ja) 2013-03-19 2016-07-13 住友重機械イオンテクノロジー株式会社 イオン注入装置およびイオン注入装置のクリーニング方法
US9570271B2 (en) 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
FR3024161B1 (fr) * 2014-07-24 2016-08-12 Altatech Semiconductor Procede de nettoyage d'une chambre de depot
WO2016069516A1 (en) * 2014-10-27 2016-05-06 Entegris, Inc. Ion implantation processes and apparatus
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
WO2016189614A1 (ja) * 2015-05-25 2016-12-01 株式会社日立ハイテクノロジーズ イオンミリング装置、及びイオンミリング方法
CN106298421A (zh) * 2015-06-23 2017-01-04 应用材料公司 用以消除来自离子注入工艺的自燃副产物的方法和装置
FR3046801B1 (fr) * 2016-01-19 2020-01-17 Kobus Sas Procede d'elimination d'un depot metallique dispose sur une surface dans une enceinte
JP2018049915A (ja) * 2016-09-21 2018-03-29 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
US10361081B2 (en) * 2016-11-24 2019-07-23 Axcelis Technologies, Inc. Phosphine co-gas for carbon implants
US10256069B2 (en) * 2016-11-24 2019-04-09 Axcelis Technologies, Inc. Phosphorous trifluoride co-gas for carbon implants
US10597773B2 (en) * 2017-08-22 2020-03-24 Praxair Technology, Inc. Antimony-containing materials for ion implantation
JP6529000B2 (ja) * 2017-09-27 2019-06-12 日新イオン機器株式会社 イオン源、イオン源の運転方法
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
KR20200089764A (ko) * 2017-12-15 2020-07-27 엔테그리스, 아이엔씨. 플라즈마 플러드 건(pfg) 작동을 위해 불소 함유 가스 및 불활성 가스를 사용하는 방법 및 어셈블리
CN112105757A (zh) 2018-05-17 2020-12-18 恩特格里斯公司 用于离子植入系统的四氟化锗与氢气的混合物
US11222768B2 (en) * 2018-09-07 2022-01-11 Varian Semiconductor Equipment Associates, Inc. Foam in ion implantation system
US10643823B2 (en) 2018-09-07 2020-05-05 Varian Semiconductor Equipment Associates, Inc. Foam in ion implantation system
US10784079B2 (en) 2018-09-26 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implantation system and source bushing thereof
SG11202105497PA (en) 2018-12-15 2021-06-29 Entegris Inc Fluorine ion implantation system with non-tungsten materials and methods of using
US11127601B2 (en) 2019-05-21 2021-09-21 Applied Materials, Inc. Phosphorus fugitive emission control
CN111081516B (zh) * 2019-12-27 2022-10-04 华虹半导体(无锡)有限公司 离子注入机清洁方法
TWI793852B (zh) * 2021-11-11 2023-02-21 南亞科技股份有限公司 清洗方法
WO2023091299A1 (en) * 2021-11-16 2023-05-25 Lam Research Corporation Silicon etch with organochloride
US20240112883A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Helical voltage standoff

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3625749A (en) 1966-04-06 1971-12-07 Matsushita Electronics Corp Method for deposition of silicon dioxide films
DE1789021C3 (de) 1967-09-25 1975-04-10 Hitachi, Ltd., Tokio Zenerdiode und Verfahren zu ihrer Herstellung
JPS4820106B1 (ja) 1968-03-08 1973-06-19
US3658586A (en) 1969-04-11 1972-04-25 Rca Corp Epitaxial silicon on hydrogen magnesium aluminate spinel single crystals
US3725749A (en) 1971-06-30 1973-04-03 Monsanto Co GaAS{11 {11 {11 P{11 {11 ELECTROLUMINESCENT DEVICE DOPED WITH ISOELECTRONIC IMPURITIES
JPS5183473A (en) 1975-01-20 1976-07-22 Hitachi Ltd Fujunbutsuno doopinguhoho
US4128733A (en) 1977-12-27 1978-12-05 Hughes Aircraft Company Multijunction gallium aluminum arsenide-gallium arsenide-germanium solar cell and process for fabricating same
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
JPS60138247A (ja) 1983-12-27 1985-07-22 Diesel Kiki Co Ltd 燃料噴射時期制御装置
US4619729A (en) 1984-02-14 1986-10-28 Energy Conversion Devices, Inc. Microwave method of making semiconductor members
JPS60138247U (ja) * 1984-02-24 1985-09-12 日新電機株式会社 イオン照射装置
US4600801A (en) 1984-11-02 1986-07-15 Sovonics Solar Systems Fluorinated, p-doped microcrystalline silicon semiconductor alloy material
US4718240A (en) 1985-03-01 1988-01-12 Helix Technology Corporation Cryopump regeneration method and apparatus
JP2530434B2 (ja) 1986-08-13 1996-09-04 日本テキサス・インスツルメンツ株式会社 イオン発生装置
JP2651530B2 (ja) 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
JP2813762B2 (ja) 1990-02-23 1998-10-22 東京エレクトロン株式会社 イオン注入装置
JPH04112441A (ja) 1990-08-31 1992-04-14 Toshiba Corp イオン注入装置及びそのクリーニング方法
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5370568A (en) 1993-03-12 1994-12-06 Harris Corporation Curing of a tungsten filament in an ion implanter
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JPH0786242A (ja) 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
JP2889098B2 (ja) 1993-10-13 1999-05-10 株式会社本山製作所 特定ガスの供給制御装置
US5436180A (en) 1994-02-28 1995-07-25 Motorola, Inc. Method for reducing base resistance in epitaxial-based bipolar transistor
JP3593363B2 (ja) * 1994-08-10 2004-11-24 株式会社東芝 半導体薄膜を具備するアクティブマトリックス型液晶表示装置の製造方法
US5518528A (en) 1994-10-13 1996-05-21 Advanced Technology Materials, Inc. Storage and delivery system for gaseous hydride, halide, and organometallic group V compounds
US5554845A (en) 1994-11-14 1996-09-10 Santa Barbara Research Center Method and apparatus to effectively eliminate optical interference structure in detector response
US5497006A (en) 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
JP3609131B2 (ja) 1994-12-06 2005-01-12 株式会社半導体エネルギー研究所 イオンドーピング装置のクリーニング方法
US5554854A (en) 1995-07-17 1996-09-10 Eaton Corporation In situ removal of contaminants from the interior surfaces of an ion beam implanter
US5633506A (en) 1995-07-17 1997-05-27 Eaton Corporation Method and apparatus for in situ removal of contaminants from ion beam neutralization and implantation apparatuses
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5977552A (en) 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
JPH09298166A (ja) 1996-05-09 1997-11-18 Hitachi Ltd 半導体製造方法および装置
JP3077591B2 (ja) 1996-06-20 2000-08-14 日本電気株式会社 Cvd装置及びcvd成膜方法
US5988187A (en) 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US6080297A (en) 1996-12-06 2000-06-27 Electron Transfer Technologies, Inc. Method and apparatus for constant composition delivery of hydride gases for semiconductor processing
US6322756B1 (en) 1996-12-31 2001-11-27 Advanced Technology And Materials, Inc. Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
US6224785B1 (en) 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5940724A (en) 1997-04-30 1999-08-17 International Business Machines Corporation Method for extended ion implanter source lifetime
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
GB9709659D0 (en) 1997-05-13 1997-07-02 Surface Tech Sys Ltd Method and apparatus for etching a workpiece
US5851270A (en) 1997-05-20 1998-12-22 Advanced Technology Materials, Inc. Low pressure gas source and dispensing apparatus with enhanced diffusive/extractive means
US6534007B1 (en) 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6846424B2 (en) 1997-11-10 2005-01-25 Advanced Technology Materials, Inc. Plasma-assisted dry etching of noble metal-based materials
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
IL136037A0 (en) 1997-11-12 2001-05-20 Nikon Corp Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
JP3099819B2 (ja) 1997-11-28 2000-10-16 セイコーエプソン株式会社 半導体装置の製造方法
SG72905A1 (en) 1997-12-18 2000-05-23 Central Glass Co Ltd Gas for removing deposit and removal method using same
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6135128A (en) 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6101816A (en) 1998-04-28 2000-08-15 Advanced Technology Materials, Inc. Fluid storage and dispensing system
JPH11317174A (ja) * 1998-04-30 1999-11-16 Sozo Kagaku:Kk ガスによるイオン源絶縁フランジのクリーニング方法とクリーニング機構
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US6355933B1 (en) 1999-01-13 2002-03-12 Advanced Micro Devices, Inc. Ion source and method for using same
JP3836991B2 (ja) 1999-02-02 2006-10-25 三菱化学株式会社 製膜方法および磁気記録媒体の製造方法
US6756600B2 (en) 1999-02-19 2004-06-29 Advanced Micro Devices, Inc. Ion implantation with improved ion source life expectancy
US6346452B1 (en) 1999-05-03 2002-02-12 National Semiconductor Corporation Method for controlling an N-type dopant concentration depth profile in bipolar transistor epitaxial layers
US6259105B1 (en) 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
JP2000350970A (ja) 1999-05-10 2000-12-19 Eaton Corp イオン注入装置における汚染された表面を洗浄するための方法および装置
US6221169B1 (en) 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
JP4182535B2 (ja) 1999-05-27 2008-11-19 株式会社Ihi セルフクリ−ニングイオンドーピング装置およびその方法
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6290864B1 (en) 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
JP3732697B2 (ja) 1999-12-09 2006-01-05 住友イートンノバ株式会社 イオン注入装置及びイオンビームラインのクリーニング方法
US6772781B2 (en) 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
DE10011274A1 (de) 2000-03-08 2001-09-13 Wolff Walsrode Ag Plasmabehandelte bahnförmige Werkstoffe
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6537606B2 (en) 2000-07-10 2003-03-25 Epion Corporation System and method for improving thin films by gas cluster ion beam processing
JP2002057149A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
JP2002110611A (ja) 2000-10-04 2002-04-12 Texas Instr Japan Ltd 半導体ウェハの洗浄方法及び装置
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3891773B2 (ja) * 2000-10-20 2007-03-14 大陽日酸株式会社 ガスの分離精製方法及びその装置
US6559462B1 (en) 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JPWO2002050883A1 (ja) 2000-12-18 2004-04-22 住友精密工業株式会社 洗浄方法とエッチング方法
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
JP2004537844A (ja) * 2001-01-09 2004-12-16 アプライド マテリアルズ インコーポレイテッド 基板処理における白色粉末排気除去用装置
JP4014913B2 (ja) 2001-04-13 2007-11-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP2002313776A (ja) 2001-04-19 2002-10-25 Toshiba Corp ドライエッチング方法及びドライエッチング装置
US6529424B2 (en) 2001-05-17 2003-03-04 Koninklijke Philips Electronics N.V. Propagation delay independent SDRAM data capture device and method
JP3824058B2 (ja) 2001-05-23 2006-09-20 独立行政法人産業技術総合研究所 カルボランスーパークラスターおよびその製造方法
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6835414B2 (en) 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
JP3987312B2 (ja) 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030098038A1 (en) 2001-11-26 2003-05-29 Siegele Stephen H. System and method for on-site generation and distribution of fluorine for fabrication processes
JP3891834B2 (ja) * 2001-12-04 2007-03-14 大陽日酸株式会社 ガス供給方法及び装置
US6620225B2 (en) 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
JP2003272554A (ja) 2002-03-15 2003-09-26 Seiko Epson Corp イオン注入装置及びその稼動制御方法
JP2003297280A (ja) 2002-03-29 2003-10-17 Toshiba Corp イオン注入装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
TWI271443B (en) 2002-06-17 2007-01-21 Asm Int Method of producing vapor from solid precursor and substrate processing system using the same
JP4749713B2 (ja) 2002-06-26 2011-08-17 セムエキップ インコーポレイテッド 水素化ホウ素クラスターイオンの注入によるイオン注入方法及び半導体製造方法
US20040006249A1 (en) 2002-07-08 2004-01-08 Showa Denko K.K., Nikon Corporation Fluorination treatment apparatus, process for producing fluorination treated substance, and fluorination treated substance
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US7080545B2 (en) 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US20040163445A1 (en) 2002-10-17 2004-08-26 Dimeo Frank Apparatus and process for sensing fluoro species in semiconductor processing systems
US7228724B2 (en) 2002-10-17 2007-06-12 Advanced Technology Materials, Inc. Apparatus and process for sensing target gas species in semiconductor processing systems
US7296458B2 (en) 2002-10-17 2007-11-20 Advanced Technology Materials, Inc Nickel-coated free-standing silicon carbide structure for sensing fluoro or halogen species in semiconductor processing systems, and processes of making and using same
US6818566B2 (en) 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US6812648B2 (en) 2002-10-21 2004-11-02 Guardian Industries Corp. Method of cleaning ion source, and corresponding apparatus/system
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
KR100505065B1 (ko) 2002-12-26 2005-07-29 삼성전자주식회사 증착 챔버 세정 방법 및 인시튜 세정이 가능한 증착 장치
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7098143B2 (en) 2003-04-25 2006-08-29 Texas Instruments Incorporated Etching method using an at least semi-solid media
US6936505B2 (en) 2003-05-20 2005-08-30 Intel Corporation Method of forming a shallow junction
JP4374487B2 (ja) 2003-06-06 2009-12-02 株式会社Sen イオン源装置およびそのクリーニング最適化方法
WO2005028871A1 (en) * 2003-09-23 2005-03-31 The Boc Group Plc Cleaning method of a rotary piston vacuum pump
EP1695038B1 (en) 2003-12-12 2013-02-13 Semequip, Inc. Controlling the flow of vapors sublimated from solids
US20080223409A1 (en) 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US7791047B2 (en) 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
TWI375660B (en) 2004-01-22 2012-11-01 Semequip Inc Isotopically-enriched boranes and methods of preparing them
US7015108B2 (en) 2004-02-26 2006-03-21 Intel Corporation Implanting carbon to form P-type drain extensions
GB2412488B (en) 2004-03-26 2007-03-28 Applied Materials Inc Ion sources
US20050252529A1 (en) 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
GB0415560D0 (en) * 2004-07-12 2004-08-11 Boc Group Plc Pump cleaning
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
DE102004051635A1 (de) 2004-10-23 2006-05-18 Man Roland Druckmaschinen Ag Verfahren zur Schnittregistereinstellung bei einer Rollenrotationsdruckmaschine
US7955797B2 (en) 2004-10-25 2011-06-07 Advanced Technology Materials, Inc. Fluid storage and dispensing system including dynamic fluid monitoring of fluid storage and dispensing vessel
US7819981B2 (en) 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7459704B2 (en) 2004-11-12 2008-12-02 Varian Semiconductor Equipment Associates, Inc. Ion source configuration for production of ionized clusters, ionized molecules and ionized mono-atoms
US20060115590A1 (en) 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US7438079B2 (en) 2005-02-04 2008-10-21 Air Products And Chemicals, Inc. In-line gas purity monitoring and control system
US20080191153A1 (en) 2005-03-16 2008-08-14 Advanced Technology Materials, Inc. System For Delivery Of Reagents From Solid Sources Thereof
KR100706792B1 (ko) * 2005-08-01 2007-04-12 삼성전자주식회사 펌프 유닛을 가지는 반도체 소자 제조 장치 및 상기 펌프유닛을 세정하는 방법
US7491947B2 (en) * 2005-08-17 2009-02-17 Varian Semiconductor Equipment Associates, Inc. Technique for improving performance and extending lifetime of indirectly heated cathode ion source
KR101297964B1 (ko) 2005-08-30 2013-08-19 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 대안적인 불화 붕소 전구체를 이용한 붕소 이온 주입 방법, 및 주입을 위한 대형 수소화붕소의 형성 방법
KR20080041285A (ko) 2005-08-30 2008-05-09 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 저압 가스 이송 장치 및 방법
US20100112795A1 (en) 2005-08-30 2010-05-06 Advanced Technology Materials, Inc. Method of forming ultra-shallow junctions for semiconductor devices
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US8278222B2 (en) 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
KR101455564B1 (ko) 2005-12-09 2014-10-27 세미이큅, 인코포레이티드 탄소 클러스터의 주입에 의한 반도체 디바이스의 제조를 위한 시스템 및 방법
JP2007190448A (ja) * 2006-01-17 2007-08-02 Taiyo Nippon Sanso Corp 混合ガス製造装置及び方法
CN102747336A (zh) * 2006-04-26 2012-10-24 高级技术材料公司 半导体加工系统的清洁方法和装置
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
WO2008070453A2 (en) 2006-11-22 2008-06-12 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizer for use in such system
US7853364B2 (en) 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
US7919402B2 (en) 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
US20080142039A1 (en) 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
TW200839829A (en) 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof
US8021492B2 (en) * 2007-05-29 2011-09-20 United Microelectronics Corp. Method of cleaning turbo pump and chamber/turbo pump clean process
US20080305598A1 (en) 2007-06-07 2008-12-11 Horsky Thomas N Ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane molecular species
JP2009021066A (ja) 2007-07-11 2009-01-29 Sharp Corp イオンドーピング装置、イオンドーピング装置用フィラメントおよびその製造方法
EP2248153B1 (en) 2008-02-11 2016-09-21 Entegris, Inc. Ion source cleaning in semiconductor processing systems
US7947582B2 (en) 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
US9627180B2 (en) 2009-10-01 2017-04-18 Praxair Technology, Inc. Method for ion source component cleaning
US8237136B2 (en) 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US8187971B2 (en) 2009-11-16 2012-05-29 Tel Epion Inc. Method to alter silicide properties using GCIB treatment
JP5714831B2 (ja) 2010-03-18 2015-05-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Similar Documents

Publication Publication Date Title
JP2012517684A5 (ja)
KR101700320B1 (ko) 기판 에칭 방법들
JP2018517263A5 (ja) イオン注入システム及びその場(in situ)プラズマクリーニング方法
TWI264775B (en) Plasma etching method
CN104465365A (zh) 等离子体处理方法
KR20150104513A (ko) 유전체 에칭 프로세스에서 무웨이퍼 세정
CN102856191B (zh) 等离子处理方法
JP2009503270A (ja) 表面沈着物を除去するためのnf3の使用方法
JP2011527486A5 (ja)
US20130255717A1 (en) System and method for cleaning surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma
JP2006148095A5 (ja)
TW200623251A (en) Remote chamber methods for removing surface deposits
JP2013510442A5 (ja)
KR102459129B1 (ko) 기판 처리 방법 및 플라즈마 처리 장치
WO2012037007A3 (en) Method for extending lifetime of an ion source
TWI719198B (zh) 用於化學蝕刻矽的方法
TWI532097B (zh) 蝕刻氣體及蝕刻方法
JP2016522964A5 (ja) イオン源及びその動作方法
JP2014045063A5 (ja)
TWI605516B (zh) 含矽基板之蝕刻方法
JP2009147310A (ja) インシチュでのチャンバ洗浄方法
US6759339B1 (en) Method for plasma etching a microelectronic topography using a pulse bias power
WO2022192063A1 (en) Isotropic silicon nitride removal
WO2010018786A1 (ja) プラズマ制御装置
JP2009010310A (ja) シリコン膜のドライエッチング方法