JP2010090413A - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
JP2010090413A
JP2010090413A JP2008259142A JP2008259142A JP2010090413A JP 2010090413 A JP2010090413 A JP 2010090413A JP 2008259142 A JP2008259142 A JP 2008259142A JP 2008259142 A JP2008259142 A JP 2008259142A JP 2010090413 A JP2010090413 A JP 2010090413A
Authority
JP
Japan
Prior art keywords
gas
film forming
processed
processing container
raw material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008259142A
Other languages
English (en)
Other versions
JP5233562B2 (ja
Inventor
Masanobu Matsunaga
正信 松永
Nobutake Nodera
伸武 野寺
Kazuhide Hasebe
一秀 長谷部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008259142A priority Critical patent/JP5233562B2/ja
Priority to US12/564,484 priority patent/US8257789B2/en
Priority to TW098132288A priority patent/TWI461567B/zh
Priority to CN2009101796050A priority patent/CN101713067B/zh
Priority to KR1020090093078A priority patent/KR101287725B1/ko
Publication of JP2010090413A publication Critical patent/JP2010090413A/ja
Application granted granted Critical
Publication of JP5233562B2 publication Critical patent/JP5233562B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

【課題】原料ガスを間欠的に供給する際に、安全性を維持しつつ多量の原料ガスを処理容器内へ供給することができ、この結果、成膜レートを向上できるのみならず、膜中における原料ガスに含まれる元素の濃度をコントロールして、例えばこの元素濃度を高くすることが可能な成膜方法を提供する。
【解決手段】被処理体Wが収容されて真空引き可能になされた処理容器4内で被処理体Wの表面に薄膜を形成する成膜方法において、処理容器4内へ原料ガスを、間に間欠期間を挟んで複数回供給して原料ガスを被処理体Wの表面に吸着させる吸着工程と、処理容器4内へ反応ガスを供給して被処理体Wの表面に吸着している原料ガスと反応させて薄膜を形成する反応工程とを交互に複数回繰り返し行うようにする。
【選択図】図1

Description

本発明は、半導体ウエハ等の被処理体に薄膜を形成する成膜方法及び成膜装置に関する。
一般に、半導体集積回路を製造するためにはシリコン基板等よりなる半導体ウエハに対して、成膜処理、エッチング処理、酸化処理、拡散処理、改質処理、自然酸化膜の除去処理等の各種の処理が行なわれる。これらの処理を特許文献1等に開示されている縦型の、いわゆるバッチ式の熱処理装置にて行う場合には、まず、半導体ウエハを複数枚、例えば25枚程度収容できるカセットから、半導体ウエハを縦型のウエハボートへ移載してこれに多段に支持させる。このウエハボートは、例えばウエハサイズにもよるが30〜150枚程度のウエハを載置できる。このウエハボートは、排気可能な処理容器内にその下方より搬入(ロード)された後、処理容器内が気密に維持される。そして、処理ガスの流量、プロセス圧力、プロセス温度等の各種のプロセス条件を制御しつつ所定の熱処理が施される。
ここで上記半導体集積回路の特性を向上させる要因の1つとして、集積回路中の絶縁膜の特性を向上させることは重要である。上記集積回路中の絶縁膜としては、一般的にはSiO 、PSG(Phospho Silicate Glass)、P(プラズマ)−SiO、P(プラズマ)−SiN、SOG(Spin On Glass)、Si (シリコン窒化膜)等が用いられる。そして、特にシリコン窒化膜は、絶縁特性がシリコン酸化膜より比較的良好なこと、及びエッチングストッパ膜や層間絶縁膜としても十分に機能することから多用される傾向にある。
半導体ウエハの表面に上述したようなシリコン窒化膜を形成するには、成膜ガスとしてモノシラン(SiH )やジクロルシラン(SiH Cl )やヘキサクロロジシラン(Si Cl )、ビス ターシャル ブチルアミノシラン(BTBAS)や(t−C NH) SiH 等のシリコン含有ガスを用いて熱CVD(Chemical Vapor Deposition)により成膜する方法が知られている(例えば特許文献1、2参照)。
ところで、最近にあっては半導体集積回路の更なる高集積化及び高微細化の要求が強くなされており、回路素子の特性の向上を目的として半導体集積回路の製造工程における熱履歴も低減化することが望まれている。このような状況下において、縦型の、いわゆるバッチ式の縦型の処理装置においても、ウエハをそれ程の高温に晒さなくても目的とする処理が可能なことから、原料ガス等を間欠的に供給しながら原子レベルで1層〜数層ずつ、或いは分子レベルで1層〜数層ずつ繰り返し成膜する方法が知られている(特許文献3〜5等)。このような成膜方法は一般的にはALD(Atomic Layer Deposition)と称されている。
ここで従来の成膜方法としては、原料ガスとして用いるシリコン含有ガスであるジクロロシラン(以下、「DCS」とも称す)と窒化ガスであるNH ガスとを用いてシリコン窒化膜(SiN)を形成している。具体的には、処理容器内に、DCSとNH ガスとを交互に間欠的に供給し、NH ガスを供給する時にRF(高周波)を印加してプラズマを立て、窒化反応を促進するようにしている。この場合、DCSを処理容器内へ供給することにより、ウエハ表面上にDCSが分子レベルで一層、或いは複数層吸着し、そして余分なDCSを不活性ガスパージ、或いは真空引きで排除した後、NH を供給してプラズマを立てることによって低温での窒化を促進して窒化膜を形成し、この一連の工程を繰り返し行っている。
そして、最近にあっては、成膜レートや膜中のシリコン元素の濃度を上げる必要から、原料ガスの供給ラインに一定容量のバッファタンクを設け、ここに多量の原料ガスを一時的に貯留して、ガス供給時にこのバッファタンク内の原料ガスを放出して処理容器に間欠的に多量の原料ガスを供給するようにした方法も知られている(特許文献6等)。
特開平11−172439号公報 特開平2−93071号公報 特開平6−45256号公報 特開平11−87341号公報 特開2006−287194号公報 特開2004−006801号公報
ところで、上記した薄膜を形成するに際して、成膜レートを大きくしたり、或いは膜中のシリコン元素の濃度をコントロールして例えば特に高くしたい場合には、上述したように原料ガスであるシリコン含有ガスのガス通路にバッファタンクを設けて、このバッファタンク内への原料ガスの貯留と放出とを繰り返し行うことにより、処理容器内へ多量のガスを間欠的に供給させることができる。
しかしながら、実際の成膜装置では、装置全体の寸法サイズの制約より設置できるバッファタンクの容量(体積)に制限が発生し、過度に大きな容量のバッファタンクを設けることができない。この場合、バッファタンク内へ原料ガスを一時的に貯留する時のタンク内の圧力を高く設定することも考えられる。しかし、安全対策上、バッファタンク内へは予め定められた圧力以上に原料ガスを貯留することは避けなければならないし、また、高い圧力のガスを一度に多量に流すと、未反応の原料ガス等も排気ガスと共に一時的に多量に排気されることになるので、この結果、排気ガス中の有害成分を除去するために排気系に設けた除害装置の処理能力を越えてしまい、有害なガス成分が系外へ排出される恐れがある。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、原料ガスを間欠的に供給する際に、安全性を維持しつつ多量の原料ガスを処理容器内へ供給することができ、この結果、成膜レートを向上できるのみならず、膜中における原料ガスに含まれる元素の濃度をコントロールして、例えばこの元素濃度を高くすることが可能な成膜方法及び成膜装置を提供することにある。
請求項1に係る発明は、被処理体が収容されて真空引き可能になされた処理容器内で前記被処理体の表面に薄膜を形成する成膜方法において、前記処理容器内へ原料ガスを、間に間欠期間を挟んで複数回供給して前記原料ガスを前記被処理体の表面に吸着させる吸着工程と、前記処理容器内へ反応ガスを供給して前記被処理体の表面に吸着している前記原料ガスと反応させて前記薄膜を形成する反応工程とを交互に複数回繰り返し行うようにしたことを特徴とする成膜方法である。
このように、処理容器内で被処理体の表面に薄膜を形成するに際して、処理容器内へ原料ガスを、間に間欠期間を挟んで複数回供給して原料ガスを被処理体の表面に吸着させる吸着工程と、処理容器内へ反応ガスを供給して被処理体の表面に吸着している原料ガスと反応させて薄膜を形成する反応工程とを交互に複数回繰り返し行うようにしたので、安全性を維持しつつ多量の原料ガスを処理容器内へ供給することができ、この結果、成膜レートを向上できるのみならず、膜中における原料ガスに含まれる元素の濃度をコントロールして、例えばこの元素濃度を高くすることができる。
請求項2の発明は、請求項1の発明において、前記吸着工程と前記反応工程との間には、間欠期間が設けられていることを特徴とする。
請求項3の発明は、請求項1又は2記載において、前記被処理体は前記処理容器内で回転されており、前記吸着工程における前記原料ガスの複数回の供給は、その供給毎に前記被処理体の周方向において異なる位置に対して行うようにしたことを特徴とする。
請求項4の発明は、請求項1乃至3のいずれか一項の記載において、前記原料ガスは、シリコン含有ガスであることを特徴とする。
請求項5の発明は、請求項4記載の発明において、前記シリコン含有ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)、ビスジエチルアミノシラン(BDEAS)、ジイソプロピルアミノシラン(DIPAS)、トリスジメチルアミノシラン(3DMAS)よりなる群より選択される1以上のガスであることを特徴とする。
請求項6の発明は、請求項1乃至3のいずれか一項の記載において、前記原料ガスは、有機金属化合物ガスであることを特徴とする。
請求項7の発明は、請求項6記載の発明において、前記有機金属化合物ガスは、トリメチルアルミニウム(TMA)、テトラキスジメチルアミノハフニウム(TDMAH)、テトラキスエチルメチルアミノハフニウム(TEMAH)、テトラキスエチルメチルアミノジルコニウム(TEMAZ)、テトラキスジメチルアミノチタン(TDMAT)よりなる群より選択される1以上のガスであることを特徴とする。
請求項8の発明は、請求項1乃至7のいずれか一項の記載において、前記反応ガスは、窒化ガスと酸化ガスと還元ガスとよりなる群から選択される1のガスであることを特徴とする。
請求項9の発明は、請求項1乃至8のいずれか一項の記載において、前記反応ガスは、プラズマにより活性化されることを特徴とする。
請求項10の発明は、請求項1乃至9のいずれか一項の記載において、前記吸着工程における前記原料ガスの複数回の供給は、その供給毎に前記原料ガスを一時的に貯留するバッファタンク内に貯留した前記原料ガスを放出して供給するようにしたことを特徴とする。
請求項11の発明は、被処理体の表面に薄膜を形成する成膜装置において、真空引き可能になされた処理容器と、複数枚の前記被処理体を前記処理容器内で保持する保持手段と、前記被処理体を加熱する加熱手段と、原料ガスを流すガス通路の途中に前記原料ガスを一時的に貯留するバッファタンクを有して前記処理容器内へ前記原料ガスを供給する原料ガス供給手段と、前記処理容器内へ反応ガスを供給する反応ガス供給手段と、請求項1乃至10のいずれか一項に記載の成膜方法を実行するように装置全体を制御する装置制御部と、を備えたことを特徴とする成膜装置である。
請求項12の発明は、前記反応ガスを活性化させるための活性化手段を有することを特徴とする。
請求項13の発明は、真空引き可能になされた処理容器と、複数枚の被処理体を前記処理容器内で保持する保持手段と、前記被処理体を加熱する加熱手段と、原料ガスを流すガス通路の途中に前記原料ガスを一時的に貯留するバッファタンクを有して前記処理容器内へ前記原料ガスを供給する原料ガス供給手段と、前記処理容器内へ反応ガスを供給する反応ガス供給手段と、装置全体を制御する装置制御部と、を備えた成膜装置を用いて前記被処理体の表面に薄膜を形成するに際して、請求項1乃至10のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御する、コンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体である。
請求項14の発明は、被処理体の表面に薄膜を形成する成膜装置において、真空引き可能になされた処理容器と、複数枚の前記被処理体を前記処理容器内で保持する保持手段と、前記被処理体を加熱する加熱手段と、前記処理容器内へ原料ガスを供給する原料ガス供給手段と、前記処理容器内へ反応ガスを供給する反応ガス供給手段と、請求項1乃至9のいずれか一項に記載の成膜方法を実行するように装置全体を制御する装置制御部と、を備えたことを特徴とする成膜装置である。
請求項15の発明は、請求項14の発明において、前記反応ガスを活性化させるための活性化手段を有することを特徴とする。
請求項16の発明は、真空引き可能になされた処理容器と、複数枚の被処理体を前記処理容器内で保持する保持手段と、前記被処理体を加熱する加熱手段と、、前記処理容器内へ原料ガスを供給する原料ガス供給手段と、前記処理容器内へ反応ガスを供給する反応ガス供給手段と、装置全体を制御する装置制御部と、を備えた成膜装置を用いて前記被処理体の表面に薄膜を形成するに際して、請求項1乃至9のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御する、コンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体である。
本発明に係る成膜方法及び成膜装置によれば、次のように優れた作用効果を発揮することができる。
処理容器内で被処理体の表面に薄膜を形成するに際して、処理容器内へ原料ガスを、間に間欠期間を挟んで複数回供給して原料ガスを被処理体の表面に吸着させる吸着工程と、処理容器内へ反応ガスを供給して被処理体の表面に吸着している原料ガスと反応させて薄膜を形成する反応工程とを交互に複数回繰り返し行うようにしたので、安全性を維持しつつ多量の原料ガスを処理容器内へ供給することができ、この結果、成膜レートを向上できるのみならず、膜中における原料ガスに含まれる元素の濃度をコントロールして、例えばこの元素濃度を高くすることができる。
以下に、本発明に係る成膜方法及び成膜装置の一実施形態を添付図面に基づいて詳述する。
図1は本発明の係る成膜装置の実施形態を示す縦断面構成図、図2は成膜装置(加熱手段は省略)を示す横断面構成図である。尚、ここでは原料ガスとしてシリコン含有ガスであるジクロロシラン(DCS)を用い、反応ガスとして窒化ガスであるアンモニアガス(NH )を用い、パージガスとしてN ガスを用い、上記NH ガスをプラズマにより活性化して薄膜としてシリコン窒化膜を成膜する場合を例にとって説明する。
図示するように、プラズマを形成することができるこの成膜装置2は、下端が開口された有天井の円筒体状の処理容器4を有している。この処理容器4の全体は、例えば石英により形成されており、この処理容器4内の天井には、石英製の天井板6が設けられて封止されている。また、この処理容器4の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド8がOリング等のシール部材10を介して連結されている。尚、ステンレス製のマニホールド8を設けないで、全体を円筒体状の石英製の処理容器で構成した装置もある。
上記処理容器4の下端は、上記マニホールド8によって支持されており、このマニホールド8の下方より複数枚の被処理体としての半導体ウエハWを多段に載置した保持手段としての石英製のウエハボート12が昇降可能に挿脱自在になされている。本実施形態の場合において、このウエハボート12の支柱12Aには、例えば50〜100枚程度の直径が300mmのウエハWを略等ピッチで多段に支持できるようになっている。
このウエハボート12は、石英製の保温筒14を介してテーブル16上に載置されており、このテーブル16は、マニホールド8の下端開口部を開閉する例えばステンレススチール製の蓋部18を貫通する回転軸20の上端に支持される。そして、この回転軸20の貫通部には、例えば磁性流体シール22が介設され、この回転軸20を気密にシールしつつ回転可能に支持している。また、蓋部18の周辺部とマニホールド8の下端部には、例えばOリング等よりなるシール部材24が介設されており、処理容器4内のシール性を保持している。
上記した回転軸20は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム26の先端に取り付けられており、ウエハボート12及び蓋部18等を一体的に昇降して処理容器4内へ挿脱できるようになされている。尚、上記テーブル16を上記蓋部18側へ固定して設け、ウエハボート12を回転させることなくウエハWの処理を行うようにしてもよい。
このマニホールド8には、処理容器4内に反応ガスとして例えば窒化ガスであるアンモニア(NH )ガスを供給する反応ガス供給手段28と、原料ガスとして例えばシリコン含有ガスであるDCS(ジクロロシラン)ガスを供給する原料ガス供給手段30と、パージガスとして不活性ガス、例えばN ガスを供給するパージガス供給手段34とが設けられる。
具体的には、上記反応ガス供給手段28は、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるガスノズル38を有している。このガスノズル38には、その長さ方向に沿って複数(多数)のガス噴射孔38Aが所定の間隔を隔てて形成されており、各ガス噴射孔38Aから水平方向に向けて略均一にアンモニアガスを噴射できるようになっている。このようなタイプのガスノズルを分散形のガスノズルと称す。
また同様に上記原料ガス供給手段30も、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるガスノズル40を有している。このガスノズル40には、その長さ方向に沿って複数(多数)のガス噴射孔40Aが所定の間隔を隔てて形成されており、各ガス噴射孔40Aから水平方向に向けて略均一に原料ガスであるDCSガスを噴射できるようになっている。
また同様にパージガス供給手段34も、上記マニホールド8の側壁を内側へ貫通して上方向へ屈曲されて延びる石英管よりなるガスノズル44を有している。このガスノズル44には、上記原料ガスのガスノズル40と同様にその長さ方向に沿って複数(多数)のガス噴射孔44A(図2参照)が所定の間隔を隔てて形成されており、各ガス噴射孔44Aから水平方向に向けて略均一にN ガスを噴射できるようになっている。
上記各ノズル38、40、44には、それぞれのガス通路48、50、54が接続されている。そして、各ガス通路48、50、54には、それぞれマスフローコントローラのような流量制御器48A、50A、54A及び開閉弁48B、50B、54Bが介設されており、NH ガス、DCSガス及びN ガスをそれぞれ流量制御しつつ供給できるようになっている。
特に本実施形態では、上記原料ガスのガス通路50の途中であって、上記流量制御器50Aと開閉弁50Bとの間には、原料ガスを一時的に貯留するバッファタンク56が介設されており、このバッファタンク56の下流側に上記開閉弁50Bを設け、上流側に開閉弁56Bを設けている。従って、上流側の開閉弁56Bを開くことにより原料ガスをバッファタンク56内へ貯留できるようになっており、下流側の開閉弁50Bを開くことによりバッファタンク56内に貯留されている原料ガスを下流側へ放出できるようになっている。このバッファタンク56の容量(体積)は装置全体の大きさにもよるが、例えば1〜2リットル程度である。
一方、上記処理容器4の側壁の一部には、その高さ方向に沿ってプラズマを発生させて窒化ガスであるNH ガスを活性化させる活性化手段66が形成されると共に、この活性化手段66に対向する処理容器4の反対側には、この内部雰囲気を真空排気するために処理容器4の側壁を、例えば上下方向へ削りとることによって形成した細長い排気口68が設けられている。具体的には、上記活性化手段66は、上記処理容器4の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長い開口70を形成し、この開口70をその外側より覆うようにして断面凹部状になされた上下に細長い例えば石英製のプラズマ区画壁72を容器外壁に気密に溶接接合することにより形成されている。
これにより、この処理容器4の側壁の一部を凹部状に外側へ窪ませることにより一側が処理容器4内へ開口されて連通されたプラズマ室73が形成されることになる。すなわちプラズマ区画壁72の内部空間は、上記処理容器4内に一体的に連通された状態となっている。上記開口70は、ウエハボート12に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。尚、この開口70に、多数のスリットを有するスリット板を設ける場合もある。
そして、上記プラズマ区画壁72の両側壁の外側面には、その長さ方向(上下方向)に沿って互いに対向するようにして細長い一対のプラズマ電極74が設けられると共に、このプラズマ電極74にはプラズマ発生用の高周波電源76が給電ライン78を介して接続されており、上記プラズマ電極74に例えば13.56MHzの高周波電圧を印加することによりプラズマを発生し得るようになっている。尚、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
そして、上記処理容器4内を上方向に延びて行く反応ガス用のガスノズル38は途中で処理容器4の半径方向外方へ屈曲されて、上記プラズマ室73内の一番奥(処理容器4の中心より一番離れた部分)に位置され、この一番奥の部分に沿って上方に向けて起立させて設けられている。従って、高周波電源76がオンされている時に上記ガスノズル38のガス噴射孔38Aから噴射されたアンモニアガスはここで活性化されて処理容器4の中心に向けて拡散しつつ流れるようになっている。
そして上記プラズマ区画壁72の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー80が取り付けられている。また、この絶縁保護カバー80の内側部分には、図示しない冷媒通路が設けられており、冷却された窒素ガスや冷却水を流すことにより上記プラズマ電極74を冷却し得るようになっている。
そして上記プラズマ室73の開口70の外側近傍、すなわち開口70の外側(処理容器4内)には、上記原料ガス用のガスノズル40とパージガス用のガスノズル44とがそれぞれ起立させて設けられており、各ノズル40、44に設けた各ガス噴射孔40A、44Aより処理容器4の中心方向に向けて原料ガスであるDCSガスとパージガスであるN ガスとをそれぞれ噴射し得るようになっている。
一方、上記開口70に対向させて設けた排気口68には、これを覆うようにして石英よりなる断面コ字状に成形された排気口カバー部材82が溶接により取り付けられている。この排気口カバー部材82は、上記処理容器4の側壁に沿って上方に延びており、処理容器4の上方のガス出口84に連通されている。そして、このガス出口84には、排気系86が接続されている。この排気系86は、上記ガス出口84に接続される排気通路88を有しており、この排気通路88には、処理容器4内の圧力を調整する圧力調整弁90、真空ポンプ92及び排気ガス中の有害成分を除去する除去装置93が順次介設されて、処理容器4内を所定の圧力に維持しつつ真空引きできるようになっている。上記除害装置93としては、排気ガス中の除去すべきガス成分に対応させて乾式、燃焼式或いは湿式のいずれの除害装置を用いてもよい。そして、この処理容器4の外周を囲むようにしてこの処理容器4及びこの内部のウエハWを加熱する筒体状の加熱手段94が設けられている。
そして、このように構成された成膜装置2の全体の動作、例えばプロセス圧力、プロセス温度、各開閉弁の開閉によるガスの供給、供給停止、バッファタンク56への原料ガスの貯留(チャージ)、ガス流量の制御及び後述する高周波のオン・オフ制御等は例えばコンピュータ等よりなる装置制御部96により行われる。そして、この装置制御部96は、上記制御を行うためのプログラムが記憶されている記憶媒体98を有している。この記憶媒体98としては、例えばフレキシブルディスク、CD(Compact Disc)、CD−ROM、ハードディスク、フラッシュメモリ或いはDVD等を用いることができる。
次に、以上のように構成された成膜装置2を用いて行なわれる本発明の成膜方法(いわゆるALD成膜)について図3乃至図6も参照して説明する。図3は本発明の成膜方法の吸着工程と反応工程において供給される各ガスの供給態様とバッファタンクへのチャージの態様を示すタイミングチャート、図4は従来の成膜方法における各ガスの供給態様とバッファタンクへのチャージの態様を示すタイミングチャート、図5は本発明方法と従来方法により成膜した薄膜の成膜レートと屈折率を示すグラフである。
本発明方法は、上記処理容器4内へ原料ガス(DCSガス)を、間に間欠期間を挟んで複数回供給して上記原料ガスを上記被処理体である半導体ウエハWの表面に吸着させる吸着工程と、上記処理容器4内へ反応ガス(NH )を供給して上記被処理体の表面に吸着している上記原料ガスと反応させて上記薄膜(シリコン窒化膜)を形成する反応工程とを交互に複数回繰り返し行うようにしたものである。
まず、常温の多数枚、例えば50〜100枚の300mmサイズのウエハWが載置された状態のウエハボート12を予め所定の温度になされた処理容器4内にその下方より上昇させてロードし、蓋部18でマニホールド8の下端開口部を閉じることにより処理容器4内を密閉する。
そして処理容器4内を真空引きして所定のプロセス圧力に維持すると共に、加熱手段94への供給電力を増大させることにより、ウエハ温度を上昇させてプロセス温度を維持する。そして、上記DCSガスは原料ガス供給手段30から供給し、NH ガスは反応ガス供給手段28から供給し、パージガスであるN ガスはパージガス供給手段34から供給する。
具体的には、まず図3(A)に示すように、処理容器4内へ、吸着工程においては、上記DCSガスは、間に間欠期間100を挟んで複数回、ここでは2回パルス状に供給してDCSガスをウエハWの表面に吸着させる。また、これに続く反応工程においては、図3(B)に示すように上記吸着工程の後に、間欠期間102を挟んでNH ガスは1回パルス状に供給され、これにより、このNH ガスが先にウエハWの表面に吸着しているDCSガスと反応し、ここでは窒化して薄膜としてシリコン窒化膜が形成される。
そして、更に、次のサイクルでは、上記反応工程の後に間欠期間104を挟んで再度、上記したような吸着工程が行われ、以下同様に上記吸着工程と反応工程とが順次繰り返されて行く。ここで、ある吸着工程の先頭と次の吸着工程の先頭との間が1サイクルとなる。そして、上記NH ガスを供給する時には、図3(C)に示すように高周波電源(RF)をオンにしてプラズマ室73内にプラズマを立て、この時にガスノズル38のガス噴射孔38Aから供給されるNH ガスを上記プラズマにより活性化させる。
このアンモニアの活性種(活性化された窒化ガス)が、上述のように先にウエハWの表面に吸着していたDCSガスを窒化し、ここに原子レベル或いは分子レベルの非常に薄いシリコン窒化膜(SiN)を形成することになる。
また、ここでは処理の開始からパージガスであるN ガスは連続的に供給されており、各ガスの流れを促進させ、間欠期間では処理容器4内に残留している残留ガスの排出を促進させるようにしている。このパージガスは上述のように流してもよいし、或いは流さないようにしてもよい。
上述のように、成膜処理が開始すると、排気系86が駆動して真空ポンプ92は連続的に回転駆動されて処理容器4内の雰囲気を真空引きしている。そして、上記処理容器4内で反応によって発生した反応副生成物や未反応のガス成分は排気ガスと共に排気系86内を流れて排出される。この際、上記排気ガス中に含まれる有害成分は、除害装置93にて除去されるようになっている。
さて、このように成膜処理が行われている一連の流れにおいて、本発明方法の特徴として、図3(E)に示すように上記吸着工程におけるDCSガスの複数回、ここでは2回の供給は、その供給毎に上記DCSガスを一時的に貯留したバッファタンク56(図1参照)内から原料ガスを放出して処理容器4内へ供給するようにしている。
このバッファタンク56内へのDCSガスの貯留(チャージ)の開始と終了はバッファタンク56の上流側に設けた開閉弁56Bの開閉により行う。すなわち、ここでは吸着工程の間欠期間100の時と、反応工程が開始した時に、それぞれDCSガスのチャージを行っており、従って、処理容器4内へDCSガスを供給する時には、このバッファタンク56の下流側に設けた開閉弁56Bを開くことによって、このバッファタンク56内に上述のように一時的に貯留した多量のDCSガスを一気に処理容器4内へ向けて放出することができる。
そして、ここでは1回の吸着工程ではバッファタンク56から複数回、ここでは2回DCSガスを放出するようにしているので、その分、ウエハ表面に多量のDCSガスを吸着させることができ、その結果、成膜レートを向上させることが可能となる。また、DCSガスを多量に吸着させることができることから、原料ガス中の成分であるSi元素の膜中の濃度をコントロールして、例えばこれを高くすることができる。
尚、処理開始時には、予めバッファタンク56内へDCSガスを所定量チャージしておき、最初の吸着工程における1回目のDCSガスの供給時から処理容器4内へ多量のDCSガスを供給できるようにするのが望ましい。また上述のように、上記バッファタンク56内へDCSガスを貯留(チャージ)する場合には、安全対策の上からチャージ時間を制限してバッファタンク56内の圧力が過度に昇圧しないように設定する。
ここで、上記成膜処理におけるプロセス条件について説明すると、プロセス温度は630℃程度、プロセス圧力は0.133〜1330Paの範囲内で変化させ、吸着工程の時には上記圧力範囲内でプロセス圧力が高くなるように設定されている。また、吸着工程におけるDCSガスの1回の供給期間T1は3sec程度、間欠期間100の長さT2は11sec程度である。また吸着工程と反応工程との間の間欠期間T3、T5は、それぞれ11secと3sec程度であり、反応工程におけるNH ガスの供給期間T4は5slmの流量で20sec程度である。また、バッファタンク56への1回のチャージ期間T6では2slmの流量で8sec程度である。またパージガスであるN ガスの流量は0.2slm程度である。そして、上記1回の吸着工程で複数回、例えば2回に渡って分散させてDCSガスを供給するようにしたので、従来考えられていたようにバッファタンク内のチャージ圧力を高くして一度に多量のDCSガスを供給する場合と異なり、除害装置93の処理能力が小さくても、排気ガス中の有害成分を確実に除去することができる。
尚、ここではバッファタンク56へのDCSガスのチャージは、間欠期間100の時と反応工程を行っている時にそれぞれ行っているが、特に1サイクル内における2回目のチャージは、当該サイクルの吸着工程と次のサイクルの吸着工程までの間ならば、どこで行ってもよい。また、チャージタンク56内の上限圧力値以内ならば、上流側の開閉弁56Bを設けないで、或いはこの開閉弁56Bを常に開状態にして常に原料ガスを貯留している状態にしてもよい。この場合には、原料ガスが常にバッファタンク56内に流れ込んで貯留している状態を継続しつつ間欠的に処理容器4に向けてバッファタンク56の開放が行われることになる。
また、ここでは1サイクル中の吸着工程で2回パルス状に原料ガス(DCSガス)を処理容器4内へ供給するようにしたが、これに限定されず、1回の吸着工程で3回以上パルス状に原料ガスを供給するようにしてもよい。この場合にも、原料ガスの各パルス状の供給に先立って、その都度、バッファタンク56内への原料ガスのチャージを行うようにするのは勿論である。また、ここで説明した上記各期間の長さT1〜T6は単に一例を示したに過ぎず、上記した数値に限定されないのは勿論である。
このように、本発明によれば、処理容器4内で被処理体、例えば半導体ウエハWの表面に薄膜を形成するに際して、処理容器4内へ原料ガス、例えばDCSガスを、間に間欠期間を挟んで複数回供給して原料ガスを被処理体の表面に吸着させる吸着工程と、処理容器4内へ反応ガス、例えばNH ガスを供給して被処理体の表面に吸着している原料ガスと反応させて薄膜を形成する反応工程とを交互に複数回繰り返し行うようにしたので、安全性を維持しつつ多量の原料ガスを処理容器内へ供給することができ、この結果、成膜レートを向上できるのみならず、膜中における原料ガスに含まれる元素の濃度をコントロールして、例えばこの元素濃度を高くすることができる。
また、上記成膜処理においては、ウエハボート12は1分間に数回程度回転されているが、この場合、ウエハボート12の回転と原料ガスであるDCSガスを噴射するガスノズル40のガス噴射孔40Aからの噴射タイミングとが同期してしまうと、ウエハ周方向の同一部分に対して常に原料ガスが噴射されることになってこの部品の膜厚が他の部分よりも厚くなり、膜厚の面内均一性を劣化させる恐れがある。
そこで、ここではウエハの回転とガス噴射孔40Aからの原料ガスの噴射のタイミングとが同期しないように設定するのが好ましい。この結果、原料ガスの噴射による供給毎に、半導体ウエハWの周方向において異なる位置に対して供給が行われることになり、従って、膜厚の面内均一性を高く維持することができる。
尚、上記実施形態では原料ガス供給手段30のガス通路50の途中にバッファタンク56を設け、これに一時的に原料ガスをチャージするようにしたが、これに限定されず、使用する原料ガスの量が少ない場合には、上記バッファタンク56を設けないようにして、ガス通路50自体にバッファタンクの機能を持たせるようにしてもよい。この場合には、開閉弁50B、56Bの双方を設けるようにしてもよいし、或いは何れか一方の開閉弁のみを設けるようにしてもよい。
このような構成の場合にも、先に説明した実施形態と同様な開閉弁50B、56Bの開閉操作を行なうようにすればよく、この結果、供給する原料ガスの流量は、先の実施形態の場合よりも少なくなるが、先に実施形態と同様な作用効果を発揮することができる。
<本発明方法の評価>
次に、本発明に方法を用いて実際に薄膜としてシリコン窒化膜の成膜処理を行って、その時の成膜レートと薄膜の屈折率(Si濃度に依存)について測定したので、その評価結果について説明する。またこの際、比較例として従来方法を用いてシリコン窒化膜を形成した。従来方法の場合は、成膜装置例としては、図1に示すようなバッファタンクを有するものを用い、1回の吸着工程では原料ガスを1回しか供給しない供給態様を採用した。図4は従来の成膜方法における各ガスの供給態様とバッファタンクへのチャージの態様を示すタイミングチャートである。
この図4に示す従来の成膜方法では、吸着工程ではDCSガスの処理容器内への供給は1回しか行っておらず、すなわち、処理容器内へのDCSガスの供給とNH ガスの供給とを交互に1回ずつ繰り返し行っている。そして、DCSガスの供給は、本発明方法の場合と同様にバッファタンク内に貯留したDCSガスを下流側へ開放することにより行っている。
ここで本発明方法の場合の各期間のプロセス条件は、前述したようにT1=3sec、T2=11sec、T3=11sec、T4=20sec、T5=3secである。また従来方法の場合の各期間のプロセス条件は、T11(吸着)=3sec、T13(間欠)=14sec、T14(窒化)=20sec、T15(間欠)=3sec、T16(チャージ)=8secである。またプロセス圧力及びプロセス温度は、それぞれ両者同一に設定されており、プロセス圧力は0.133〜1330Paの範囲内で変化させており、プロセス温度は630℃に設定されている。
図5は本発明方法と従来方法により成膜した薄膜の成膜レートと屈折率を示すグラフである。ここではウエハボートに117枚の半導体ウエハWを保持させており、上段部のウエハの平均値を”TOP”として表し、中段部のウエハの平均値を”CTR”として表し、下段部のウエハの平均値を”BTM”として表している。
図5(A)に示すように、成膜レートに関しては、従来方法の場合には1サイクル(cycle)当たりの成膜レートは、0.79〜0.84Å程度であったのに対して、本発明方法の場合には、1.24〜1.28Å程度であり、本発明方法の場合には成膜レートを30〜60%程度も向上させることができることが判った。
また、図5(B)に示すように、成膜された薄膜であるシリコン窒化膜の屈折率に関しては、従来方法の場合には2.018〜2.037程度であるのに対して、本発明方法の場合には2.116〜2.166程度であり、本発明方法の場合には薄膜の屈折率を大きくすることができることが判った。この屈折率は原料ガス(DCSガス)中の元素成分であるSiの濃度に依存しており、Si濃度が高い程、屈折率が大きくなることが知られている。従って、本発明方法の場合には、1回の吸着工程における原料ガスの供給回数を変えたり、または、1回の原料ガスの供給時の供給期間T1の長さを変えたりすることにより、形成される膜中のSi濃度を自由にコントロールできることが判る。
<バッファタンク内及び処理容器内の安全性の評価>
次に、バッファタンク56内へ貯留されるDCSガスの安全性及び処理容器内へ供給されるDCSガスの安全性について検討したので、その評価結果について説明する。図6はバッファタンク内へ供給されるDCSガスのチャージ時間とバッファタンク内の圧力との関係を示すグラフである。ここでDCSガスに対して安全性を確保できるバッファタンク内の圧力の上限は600Torr(79.98kPa)である。
図6に示すように、バッファタンク内へのDCSガスのチャージ時間が増加する程、バッファタンク内の圧力は直線的に上昇しており、圧力の上限値である600Torrに達するチャージ時間は15.5sec程度である。ここで図3(E)を参照すれば、チャージ期間であるT6は上記15.5secよりも少ない8secであり、バッファタンク56の安全性は十分に確保されていることを理解することができる。
ちなみに、上述のようにバッファタンク内へ貯留したDCSガスを放出した時の処理容器4の安全性について併せて検討した。図7はバッファタンク内へ貯留したDCSガスのチャージ時間と、この貯留したガスを放出した時の処理容器内の圧力との関係を示すグラフである。処理容器4内におけるDCSガスに対する安全性を確保できる圧力の上限値は10Torr(1333Pa)である。
図7から明らかなように、バッファタンク56内へのチャージ期間が、図6の場合の安全時間の上限である15.5secに達しても、処理容器4内の圧力は上限値である10Torrよりも遥かに低い6Torr(800Pa)程度であり、この点からも安全性を十分に確保できることを理解することができる。
尚、上記実施形態では、反応ガスであるNH ガスを活性化手段66を用いて活性化させたが、この活性化手段66を設けないようにしてもよい。また、この実施形態では、ガス通路50に1つしかバッファタンク56を介設しなかったが、これに限定されず、ガス通路50に複数、例えば2つのバッファタンク56を直列に設け(開閉弁50B、56Bも含む))、これらのバッファタンク56を交互に使用するようにしてもよい。
また、ここでは薄膜としてシリコン窒化膜を成膜する場合を例にとって説明したが、これに限定されず、シリコン窒化膜(SiON)や不純物、例えばボロン等を含むシリコン窒化膜等を成膜する場合にも本発明を適用することができる。
また、ここではシリコン含有ガスとしてDCSガスを用いたが、これに限定されず、上記シリコン含有ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)、ビスジエチルアミノシラン(BDEAS)、ジイソプロピルアミノシラン(DIPAS)、トリスジメチルアミノシラン(3DMAS)よりなる群より選択される1以上のガスを用いることができる。
更には、原料ガスとしてシリコン含有ガスに限定されず、有機金属化合物ガスを用いることができる。この有機金属化合物ガスとしては、トリメチルアルミニウム(TMA)、テトラキスジメチルアミノハフニウム(TDMAH)、テトラキスエチルメチルアミノハフニウム(TEMAH)、テトラキスエチルメチルアミノジルコニウム(TEMAZ)、テトラキスジメチルアミノチタン(TDMAT)よりなる群より選択される1以上のガス用いることができる。
また、ここでは反応ガスとして窒化ガス(NH ガス)を用いたが、処理態様によっては、酸化ガス、或いは還元ガスを用いることもできる。
また、ここでは被処理体として半導体ウエハを例にとって説明したが、この半導体ウエハにはシリコン基板やGaAs、SiC、GaNなどの化合物半導体基板も含まれ、更にはこれらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。
本発明の係る成膜装置の実施形態を示す縦断面構成図である。 成膜装置(加熱手段は省略)を示す横断面構成図である。 本発明の成膜方法の吸着工程と反応工程において供給される各ガスの供給態様とバッファタンクへのチャージの態様を示すタイミングチャートである。 従来の成膜方法における各ガスの供給態様とバッファタンクへのチャージの態様を示すタイミングチャートである。 本発明方法と従来方法により成膜した薄膜の成膜レートと屈折率を示すグラフである。 バッファタンク内へ供給されるDCSガスのチャージ時間とバッファタンク内の圧力との関係を示すグラフである。 バッファタンク内へ貯留したDCSガスのチャージ時間と、この貯留したガスを放出した時の処理容器内の圧力との関係を示すグラフである。
符号の説明
2 成膜装置
4 処理容器
12 ウエハボート(保持手段)
18 蓋部
28 反応ガス供給手段
30 原料ガス供給手段
34 パージガス供給手段
56 バッファタンク
66 活性化手段
74 プラズマ電極
76 高周波電源
86 排気系
94 加熱手段
96 装置制御部
98 記憶媒体
W 半導体ウエハ(被処理体)

Claims (16)

  1. 被処理体が収容されて真空引き可能になされた処理容器内で前記被処理体の表面に薄膜を形成する成膜方法において、
    前記処理容器内へ原料ガスを、間に間欠期間を挟んで複数回供給して前記原料ガスを前記被処理体の表面に吸着させる吸着工程と、
    前記処理容器内へ反応ガスを供給して前記被処理体の表面に吸着している前記原料ガスと反応させて前記薄膜を形成する反応工程とを交互に複数回繰り返し行うようにしたことを特徴とする成膜方法。
  2. 前記吸着工程と前記反応工程との間には、間欠期間が設けられていることを特徴とする請求項1記載の成膜方法。
  3. 前記被処理体は前記処理容器内で回転されており、前記吸着工程における前記原料ガスの複数回の供給は、その供給毎に前記被処理体の周方向において異なる位置に対して行うようにしたことを特徴とする請求項1又は2記載の成膜方法。
  4. 前記原料ガスは、シリコン含有ガスであることを特徴とする請求項1乃至3のいずれか一項に記載の成膜方法。
  5. 前記シリコン含有ガスは、ジクロロシラン(DCS)、ヘキサクロロジシラン(HCD)、モノシラン[SiH ]、ジシラン[Si ]、ヘキサメチルジシラザン(HMDS)、テトラクロロシラン(TCS)、ジシリルアミン(DSA)、トリシリルアミン(TSA)、ビスターシャルブチルアミノシラン(BTBAS)、ビスジエチルアミノシラン(BDEAS)、ジイソプロピルアミノシラン(DIPAS)、トリスジメチルアミノシラン(3DMAS)よりなる群より選択される1以上のガスであることを特徴とする請求項4記載の成膜方法。
  6. 前記原料ガスは、有機金属化合物ガスであることを特徴とする請求項1乃至3のいずれか一項に記載の成膜方法。
  7. 前記有機金属化合物ガスは、トリメチルアルミニウム(TMA)、テトラキスジメチルアミノハフニウム(TDMAH)、テトラキスエチルメチルアミノハフニウム(TEMAH)、テトラキスエチルメチルアミノジルコニウム(TEMAZ)、テトラキスジメチルアミノチタン(TDMAT)よりなる群より選択される1以上のガスであることを特徴とする請求項6記載の成膜方法。
  8. 前記反応ガスは、窒化ガスと酸化ガスと還元ガスとよりなる群から選択される1のガスであることを特徴とする請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記反応ガスは、プラズマにより活性化されることを特徴とする請求項1乃至8のいずれか一項に記載の成膜方法。
  10. 前記吸着工程における前記原料ガスの複数回の供給は、その供給毎に前記原料ガスを一時的に貯留するバッファタンク内に貯留した前記原料ガスを放出して供給するようにしたことを特徴とする請求項1乃至9のいずれか一項に記載の成膜方法。
  11. 被処理体の表面に薄膜を形成する成膜装置において、
    真空引き可能になされた処理容器と、
    複数枚の前記被処理体を前記処理容器内で保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    原料ガスを流すガス通路の途中に前記原料ガスを一時的に貯留するバッファタンクを有して前記処理容器内へ前記原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ反応ガスを供給する反応ガス供給手段と、
    請求項1乃至10のいずれか一項に記載の成膜方法を実行するように装置全体を制御する装置制御部と、
    を備えたことを特徴とする成膜装置。
  12. 前記反応ガスを活性化させるための活性化手段を有することを特徴とする請求項11記載の成膜装置。
  13. 真空引き可能になされた処理容器と、
    複数枚の被処理体を前記処理容器内で保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    原料ガスを流すガス通路の途中に前記原料ガスを一時的に貯留するバッファタンクを有して前記処理容器内へ前記原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ反応ガスを供給する反応ガス供給手段と、
    装置全体を制御する装置制御部と、
    を備えた成膜装置を用いて前記被処理体の表面に薄膜を形成するに際して、
    請求項1乃至10のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御する、コンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
  14. 被処理体の表面に薄膜を形成する成膜装置において、
    真空引き可能になされた処理容器と、
    複数枚の前記被処理体を前記処理容器内で保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ反応ガスを供給する反応ガス供給手段と、
    請求項1乃至9のいずれか一項に記載の成膜方法を実行するように装置全体を制御する装置制御部と、
    を備えたことを特徴とする成膜装置。
  15. 前記反応ガスを活性化させるための活性化手段を有することを特徴とする請求項14記載の成膜装置。
  16. 真空引き可能になされた処理容器と、
    複数枚の被処理体を前記処理容器内で保持する保持手段と、
    前記被処理体を加熱する加熱手段と、
    前記処理容器内へ原料ガスを供給する原料ガス供給手段と、
    前記処理容器内へ反応ガスを供給する反応ガス供給手段と、
    装置全体を制御する装置制御部と、
    を備えた成膜装置を用いて前記被処理体の表面に薄膜を形成するに際して、
    請求項1乃至9のいずれか一項に記載の成膜方法を実行するように前記成膜装置を制御する、コンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
JP2008259142A 2008-10-04 2008-10-04 成膜方法及び成膜装置 Active JP5233562B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008259142A JP5233562B2 (ja) 2008-10-04 2008-10-04 成膜方法及び成膜装置
US12/564,484 US8257789B2 (en) 2008-10-04 2009-09-22 Film formation method in vertical batch CVD apparatus
TW098132288A TWI461567B (zh) 2008-10-04 2009-09-24 於直立式批次薄膜形成設備中之薄膜形成方法
CN2009101796050A CN101713067B (zh) 2008-10-04 2009-09-29 成膜方法及成膜装置
KR1020090093078A KR101287725B1 (ko) 2008-10-04 2009-09-30 종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008259142A JP5233562B2 (ja) 2008-10-04 2008-10-04 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2010090413A true JP2010090413A (ja) 2010-04-22
JP5233562B2 JP5233562B2 (ja) 2013-07-10

Family

ID=42215135

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008259142A Active JP5233562B2 (ja) 2008-10-04 2008-10-04 成膜方法及び成膜装置

Country Status (5)

Country Link
US (1) US8257789B2 (ja)
JP (1) JP5233562B2 (ja)
KR (1) KR101287725B1 (ja)
CN (1) CN101713067B (ja)
TW (1) TWI461567B (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011115250A1 (ja) * 2010-03-19 2011-09-22 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP2013076113A (ja) * 2011-09-29 2013-04-25 Tokyo Electron Ltd ガス供給装置及び成膜装置
JP2013542580A (ja) * 2010-08-02 2013-11-21 ユ−ジーン テクノロジー カンパニー.リミテッド サイクリック薄膜の蒸着方法
KR20130135762A (ko) 2012-06-02 2013-12-11 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2014208883A (ja) * 2013-03-28 2014-11-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2015143383A (ja) * 2013-12-27 2015-08-06 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
TWI506698B (zh) * 2010-10-29 2015-11-01 Tokyo Electron Ltd 膜形成設備
US9691606B2 (en) 2014-07-09 2017-06-27 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2017152672A (ja) * 2016-02-25 2017-08-31 東京エレクトロン株式会社 成膜方法及び成膜システム
KR20180111504A (ko) * 2017-03-30 2018-10-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP2018200931A (ja) * 2017-05-26 2018-12-20 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
JP2019026939A (ja) * 2018-09-26 2019-02-21 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US10622213B2 (en) 2014-12-16 2020-04-14 Kokusai Electric Corporation Method of manufacturing semiconductor device
US11094532B2 (en) 2017-07-13 2021-08-17 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2022064600A1 (ja) * 2020-09-24 2022-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2022085236A (ja) * 2020-11-27 2022-06-08 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP5872141B2 (ja) * 2010-05-20 2016-03-01 東京エレクトロン株式会社 基板処理装置、その制御装置およびその制御方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR20120140148A (ko) * 2011-06-20 2012-12-28 엘지이노텍 주식회사 증착 장치 및 박막 형성 방법
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
KR101396601B1 (ko) * 2013-02-26 2014-05-20 주식회사 테라세미콘 배치식 기판처리 장치
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN109075070A (zh) * 2016-06-07 2018-12-21 株式会社国际电气 基板处理装置、炉口部以及半导体装置的制造方法及程序
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN107611144B (zh) * 2017-09-19 2019-10-11 武汉华星光电技术有限公司 一种层间绝缘层的制备方法、层间绝缘层及液晶显示面板
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
CN110551987A (zh) * 2018-06-04 2019-12-10 至玥腾风科技投资集团有限公司 环形单晶无机非金属部件的制作方法、设备及飞轮
EP3599290A3 (en) * 2018-07-24 2020-06-03 Lg Electronics Inc. Chemical vapor deposition equipment for solar cell and deposition method thereof
JP7225599B2 (ja) * 2018-08-10 2023-02-21 東京エレクトロン株式会社 成膜装置
JP7016833B2 (ja) * 2019-05-17 2022-02-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
JP2021075739A (ja) * 2019-11-05 2021-05-20 東京エレクトロン株式会社 基板を処理する装置、処理ガスを濃縮する装置、及び基板を処理する方法
JP2022114918A (ja) * 2021-01-27 2022-08-08 東京エレクトロン株式会社 窒化ホウ素膜の成膜方法及び成膜装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176770A (ja) * 1997-11-18 1999-07-02 Samsung Electron Co Ltd 半導体デバイスの金属層形成方法
JP2000054134A (ja) * 1998-08-07 2000-02-22 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜製造方法
JP2006287195A (ja) * 2005-03-11 2006-10-19 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6759081B2 (en) * 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4931171B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176770A (ja) * 1997-11-18 1999-07-02 Samsung Electron Co Ltd 半導体デバイスの金属層形成方法
JP2000054134A (ja) * 1998-08-07 2000-02-22 Samsung Electronics Co Ltd 原子層蒸着法を用いた薄膜製造方法
JP2006287195A (ja) * 2005-03-11 2006-10-19 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9200364B2 (en) 2010-03-19 2015-12-01 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
JP2011216844A (ja) * 2010-03-19 2011-10-27 Tokyo Electron Ltd 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
WO2011115250A1 (ja) * 2010-03-19 2011-09-22 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP2013542580A (ja) * 2010-08-02 2013-11-21 ユ−ジーン テクノロジー カンパニー.リミテッド サイクリック薄膜の蒸着方法
TWI506698B (zh) * 2010-10-29 2015-11-01 Tokyo Electron Ltd 膜形成設備
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US9384961B2 (en) 2011-08-25 2016-07-05 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
JP2013076113A (ja) * 2011-09-29 2013-04-25 Tokyo Electron Ltd ガス供給装置及び成膜装置
US9076649B2 (en) 2012-06-02 2015-07-07 Tokyo Electron Limited Film forming method and apparatus
KR20130135762A (ko) 2012-06-02 2013-12-11 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2014208883A (ja) * 2013-03-28 2014-11-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9972500B2 (en) 2013-03-28 2018-05-15 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device
JP2015143383A (ja) * 2013-12-27 2015-08-06 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US9691606B2 (en) 2014-07-09 2017-06-27 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10622213B2 (en) 2014-12-16 2020-04-14 Kokusai Electric Corporation Method of manufacturing semiconductor device
JP2017152672A (ja) * 2016-02-25 2017-08-31 東京エレクトロン株式会社 成膜方法及び成膜システム
KR101999230B1 (ko) 2017-03-30 2019-07-11 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR20180111504A (ko) * 2017-03-30 2018-10-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP2018168431A (ja) * 2017-03-30 2018-11-01 株式会社日立国際電気 基板処理方法、基板処理装置、プログラム
JP2018200931A (ja) * 2017-05-26 2018-12-20 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
JP7039865B2 (ja) 2017-05-26 2022-03-23 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
US11094532B2 (en) 2017-07-13 2021-08-17 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2019026939A (ja) * 2018-09-26 2019-02-21 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
WO2022064600A1 (ja) * 2020-09-24 2022-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TWI797732B (zh) * 2020-09-24 2023-04-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP2022085236A (ja) * 2020-11-27 2022-06-08 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法
JP7284139B2 (ja) 2020-11-27 2023-05-30 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法

Also Published As

Publication number Publication date
KR20100038274A (ko) 2010-04-14
US20100136260A1 (en) 2010-06-03
JP5233562B2 (ja) 2013-07-10
CN101713067B (zh) 2013-07-31
CN101713067A (zh) 2010-05-26
TW201022469A (en) 2010-06-16
US8257789B2 (en) 2012-09-04
KR101287725B1 (ko) 2013-07-19
TWI461567B (zh) 2014-11-21

Similar Documents

Publication Publication Date Title
JP5233562B2 (ja) 成膜方法及び成膜装置
JP5920242B2 (ja) 成膜方法及び成膜装置
JP5151260B2 (ja) 成膜方法及び成膜装置
JP4935687B2 (ja) 成膜方法及び成膜装置
JP4179311B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4935684B2 (ja) 成膜方法及び成膜装置
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4258518B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5287964B2 (ja) 成膜方法及び成膜装置
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4305427B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) プラズマ処理装置
JP5887962B2 (ja) 成膜装置
JP5699980B2 (ja) 成膜方法及び成膜装置
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP2014146670A (ja) 成膜方法及び成膜装置
JP2006066884A (ja) 成膜方法、成膜装置及び記憶媒体
JP5082595B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110627

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130226

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130311

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5233562

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160405

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250