KR20130135762A - 성막 방법 및 성막 장치 - Google Patents

성막 방법 및 성막 장치 Download PDF

Info

Publication number
KR20130135762A
KR20130135762A KR1020130061007A KR20130061007A KR20130135762A KR 20130135762 A KR20130135762 A KR 20130135762A KR 1020130061007 A KR1020130061007 A KR 1020130061007A KR 20130061007 A KR20130061007 A KR 20130061007A KR 20130135762 A KR20130135762 A KR 20130135762A
Authority
KR
South Korea
Prior art keywords
gas
film
processing container
mixed
deposition method
Prior art date
Application number
KR1020130061007A
Other languages
English (en)
Other versions
KR101645775B1 (ko
Inventor
게이스케 스즈키
겐타로 가도나가
폴커 헤멜
베른하르트 초벨
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130135762A publication Critical patent/KR20130135762A/ko
Application granted granted Critical
Publication of KR101645775B1 publication Critical patent/KR101645775B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선하는 것이 가능한 성막 방법을 제공한다. 원료 가스와 반응 가스를 이용하여 진공화 가능하게 이루어진 처리 용기(4)내에서 피처리체(W)의 표면에 박막을 형성하는 성막 방법으로서, 원료 가스와 불활성 가스를 가스 저류부(33)에서 혼합하여 혼합 가스를 형성함과 함께 혼합 가스와 반응 가스를 처리 용기내에 공급하여 박막을 형성하도록 한다. 이에 의해, 성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선한다.

Description

성막 방법 및 성막 장치{FILM FORMING METHOD AND FILM FORMING APPARATUS}
본 발명은 반도체 웨이퍼 등의 피처리체에 박막을 형성하는 성막 방법 및 성막 장치에 관한 것이다.
일반적으로, 반도체 집적 회로를 제조하기 위하여는 실리콘 기판 등으로 이루어지는 반도체 웨이퍼에 대하여, 성막 처리, 에칭 처리, 산화 처리, 확산 처리, 개질 처리, 자연 산화막의 제거 처리 등 각종의 처리가 행해진다. 이들 처리는, 웨이퍼를 1매씩 처리하는 매엽식의 처리 장치나 복수매의 웨이퍼를 한번에 처리하는 배치식의 처리 장치에 의해 행해진다. 예를 들면 이들 처리를 종형의, 소위 배치식의 처리 장치에서 행하는 경우에는, 우선, 반도체 웨이퍼를 복수매, 예를 들면 25매 정도 수용할 수 있는 카세트로부터, 반도체 웨이퍼를 종형의 웨이퍼 보트로 이재하여 여기에 다단으로 지지시킨다.
이 웨이퍼 보트는, 예를 들면 웨이퍼 사이즈에 따라 다르지만 30 내지 150매 정도의 웨이퍼를 재치할 수 있다. 이 웨이퍼 보트는, 배기 가능한 처리 용기내에 그 하방으로부터 반입(로드)된 후, 처리 용기내가 기밀하게 유지된다. 그리고, 처리 가스의 유량, 프로세스 압력, 프로세스 온도 등의 각종의 프로세스 조건을 제어하면서 소정의 열처리가 실시된다.
여기서, 상기 반도체 집적 회로의 특성을 향상시키는 요인의 하나로서, 집적 회로중의 절연막의 특성을 향상시키는 것은 중요하다. 상기 집적 회로중의 절연막으로서는, 일반적으로는 SiO2, PSG(Phospho Silicate Glass), P(플라즈마)-SiO, P(플라즈마)-SiN, SOG(Spin On Glass), Si3N4(실리콘 질화막) 등이 이용된다. 그리고, 특히 실리콘 질화막은, 절연 특성이 실리콘 산화막보다 비교적 양호하며, 에칭 스토퍼막이나 층간 절연막으로서도 충분히 기능하기 때문에 다용되는 경향이 있다.
그리고, 최근에 있어서는 회로 소자의 특성의 향상을 목적으로 하여 한층 더한 저유전율화(Low-k화) 및 에칭에 대한 한층 더한 내성의 향상의 요구가 강하게 요망되고 있다. 이러한 상황하에 있어서, 종형의, 소위 배치식의 종형의 처리 장치에 있어서도, 웨이퍼를 그 정도의 고온에 노출되지 않아도 목적으로 하는 처리가 가능하기 때문에, 원료 가스 등을 간헐적으로 공급하면서 원자 레벨에서 1층 내지 수층씩, 혹은 분자 레벨에서 1층 내지 수층씩 반복하여 성막하는 방법이 제안되어 있다(특허문헌 1 내지 3). 이러한 성막 방법은 일반적으로는 ALD(Atomic Layer Deposition)로 불리고 있다.
여기서, 종래의 ALD 성막 방법으로서는, 원료 가스로서 이용하는 실리콘 함유 가스인 디클로로실란(이하, "DCS"로 칭한다) 가스와 질화 가스인 NH3 가스를 이용하여 실리콘 질화막(SiN)을 형성하고 있다. 구체적으로는, 처리 용기내에, DCS가스와 NH3 가스를 교대로 간헐적으로 공급하고, NH3 가스를 공급 할 때에 RF(고주파)전력을 인가하여 플라즈마를 일으켜서, 질화 반응을 촉진하도록 하고 있다.
또한, 플라즈마를 일으키지 않고 열에 의해 NH3 가스를 활성화시키는 방법도 있다. 전술한 바와 같이, DCS 가스를 처리 용기내로 공급함으로써, 웨이퍼 표면상에 DCS 가스가 분자 레벨에서 일층, 혹은 복수층 흡착하고, 그리고 여분의 DCS를 불활성 가스에 의해 퍼지하거나, 혹은 진공화로 배제한 후, NH3 가스를 공급하여 저온에서의 질화를 촉진하여 질화막을 형성하고, 이 일련의 공정을 반복하여 행하고 있다.
그리고, 최근에 있어서는 성막 레이트나 막 중의 실리콘 원소의 농도를 올릴 필요가 있기 때문에, 원료 가스의 공급 통로에 일정 용량의 버퍼 탱크를 설치하고, 여기에 다량의 원료 가스를 일시적으로 저류하고, 처리가스 공급시에 이 버퍼 탱크내의 원료 가스를 방출하여 처리 용기에 간헐적으로 다량의 원료 가스를 공급하도록 한 방법도 알려져 있다(특허문헌 4, 5 등). 또한, 특허문헌 6과 같이 원료 가스 공급 통로의 하류측에 원료 가스를 충전하는 제1 탱크를 설치하고, 원료 가스의 공급 통로의 상류측에 가압된 N2 가스를 충전하는 제2 탱크를 설치하여 가압된 N2 가스에 의해 원료 가스의 이동 속도를 높여 처리실 내의 원료 가스의 가스 분압을 높이도록 한 방법도 알려져 있다.
일본 특허 출원 공개 평06-045256호 공보 일본 특허 출원 공개 평11-087341호 공보 일본 특허 출원 공개 제2006-287194호 공보 일본 특허 출원 공개 제2004-006801호 공보 일본 특허 출원 공개 제2010-090413호 공보 일본 특허 출원 공개 제2012-067328호 공보
전술한 바와 같이, 원료 가스의 공급 통로에 버퍼 탱크를 설치함으로써 단시간에 다량의 원료 가스를 펄스 형상으로 공급할 수 있고, 이 결과, 성막 레이트 등을 향상시키는 것이 가능하게 되었다. 그러나, 전술한 바와 같이 다량의 원료 가스를 공급할 수 있는 결과, 이번은 반대로, 웨이퍼 표면에 있어서의 실리콘 질화막의 면내 균일성이 저하된다고 하는 새로운 문제가 발생하였다. 또한, 특허문헌 6의 경우에는, 처리실 내의 원료 가스의 가스 분압이 높아질 뿐이고, 상기 문제점을 해결하는 것은 아니다.
본 발명은 이상과 같은 문제점에 주목하고, 이것을 유효하게 해결하기 위하여 창안된 것이다. 본 발명은 성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선하는 것이 가능한 성막 방법 및 성막 장치이다.
본 발명의 제1 양태는, 원료 가스와 반응 가스를 이용하여 진공화 가능하게 이루어진 처리 용기내에서 피처리체의 표면에 박막을 형성하는 성막 방법으로서, 상기 원료 가스와 불활성 가스를 가스 저류부에서 혼합하여 혼합 가스를 형성함과 함께 상기 혼합 가스와 상기 반응 가스를 상기 처리 용기내로 공급하여 상기 박막을 형성하는 성막 방법이다.
이와 같이, 원료 가스와 반응 가스를 이용하여 피처리체의 표면에 박막을 형성하는 방법에 있어서, 원료 가스와 불활성 가스를 가스 저류부에서 혼합하여 혼합 가스를 형성함과 함께 혼합 가스와 반응 가스를 처리 용기내로 공급하여 박막을 형성하는 것에 의해, 성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선할 수 있다.
본 발명의 제2 양태는, 원료 가스와 반응 가스를 이용하여 피처리체의 표면에 박막을 형성하는 성막 장치로서, 진공화 가능하게 이루어진 처리 용기와, 상기 피처리체를 상기 처리 용기내에서 유지하는 유지 수단과, 상기 피처리체를 가열하는 가열 수단과, 상기 원료 가스를 흘리는 가스 통로의 도중에 설치됨과 함께 불활성 가스를 공급하는 불활성 가스 공급계에 접속되어 상기 원료 가스와 상기 불활성 가스의 혼합 가스를 형성하는 가스 저류부를 갖고 상기 처리 용기내로 상기 혼합 가스를 공급하는 혼합 가스 공급 수단과, 상기 처리 용기내로 상기 반응 가스를 공급하는 반응 가스 공급 수단과, 상기 제1 실시예에 따른 성막 방법을 실시하도록 장치 전체를 제어하는 장치 제어부를 구비하는 성막 장치이다.
본 발명에 따른 성막 방법 및 성막 장치에 따르면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다.
원료 가스와 반응 가스를 이용하여 피처리체의 표면에 박막을 형성하는 방법에 있어서, 원료 가스와 불활성 가스를 가스 저류부에서 혼합하여 혼합 가스를 형성함과 함께 혼합 가스와 반응 가스를 처리 용기내로 공급하여 박막을 형성함으로써, 성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선할 수 있다.
도 1은 본 발명에 따른 성막 장치의 일 예를 나타내는 종단면 구성도이다.
도 2는 성막 장치(가열 수단은 생략)를 나타내는 횡단면 구성도이다.
도 3은 본 발명의 성막 방법의 제1 실시예에 있어서의 각 가스의 처리실 내에의 공급 양태와 가스 저류부에의 차지의 양태를 나타내는 타이밍 차트이다.
도 4는 본 발명의 성막 방법을 실시하였을 때의 평가 결과를 나타내는 그래프이다.
도 5는 본 발명의 성막 방법의 제2 실시예 및 제3 실시예에 있어서의 각 가스의 처리 용기에의 공급 양태를 나타내는 타이밍 차트이다.
도 6은 본 발명의 성막 방법의 제4 실시예에 있어서의 각 가스의 처리 용기에의 공급 양태를 나타내는 타이밍 차트이다.
도 7a 및 도 7b는 불순물 가스 공급 수단의 일 예를 도시하는 도면이다.
도 8은 활성화 수단을 이용한 성막 장치의 일 예를 나타내는 부분 구성도이다.
이하에, 본 발명에 따른 성막 방법 및 성막 장치의 일 실시예를 첨부 도면에 기초하여 상술한다.
도 1은 본 발명에 따른 성막 장치의 일 예를 나타내는 종단면 구성도이며, 도 2는 성막 장치(가열 수단은 생략)를 나타내는 횡단면 구성도이다. 또한, 여기에서는 원료 가스로서 실리콘 함유 가스인 디클로로실란(DCS)을 이용하고, 반응 가스로서 질화 가스인 암모니아 가스(NH3)를 이용하며, 불활성 가스로서 N2 가스를 이용하고, 퍼지 가스로서 N2 가스를 이용하여 박막으로서 실리콘 질화막을 성막하는 경우를 예로 들어 설명한다.
도 1 및 도 2에 도시한 바와 같이, 이 성막 장치(2)는 하단이 개구된 천정을 갖는 원통체 형상의 처리 용기(4)를 갖고 있다. 이 처리 용기(4)의 전체는, 예를 들면 석영으로 형성되어 있고, 이 처리 용기(4) 내의 천정에는, 석영제의 천정판(6)이 설치되어 밀봉되어 있다. 또한, 이 처리 용기(4)의 하단 개구부에는, 예를 들면 스테인리스 스틸에 의해 원통체 형상으로 성형된 매니홀드(8)가 O링 등의 시일 부재(10)를 개재하여 연결되어 있다. 또한, 처리용기(4)와 매니홀드(8)는 원통체 형상의 석영제의 처리용기 및 매니홀드부를 구비하여 일체로 설치되어도 좋다.
상기 처리 용기(4)의 하단은 상기 매니홀드(8)에 의해 지지되어 있고, 이 매니홀드(8)의 하방으로부터 복수매의 피처리체로서의 반도체 웨이퍼(W)를 다단으로 재치한 유지 수단으로서의 석영제의 웨이퍼 보트(12)가 승강 가능하고 처리용기(4)의 내부에 삽탈 가능하게 이루어져 있다. 본 실시예의 경우에, 이 웨이퍼 보트(12)의 지주(12A)에는, 예를 들면 50 내지 150매 정도의 직경이 300㎜인 웨이퍼(W)를 대략 등피치로 다단으로 지지할 수 있도록 되어 있다.
이 웨이퍼 보트(12)는 석영제의 보온통(14)을 개재하여 테이블(16) 상에 재치되어 있고, 이 테이블(16)은 매니홀드(8)의 하단 개구부를 개폐하는 예를 들면 스테인리스 스틸제의 덮개부(18)를 관통하는 회전축(20)의 상단에 지지된다. 그리고, 이 회전축(20)의 관통부에는, 예를 들면 자성유체 시일(22)이 개재하여 설치되고, 이 회전축(20)을 기밀하게 시일하면서 회전 가능하게 지지하고 있다. 또한, 덮개부(18)의 주변부와 매니홀드(8)의 하단부에는, 예를 들면 O링 등으로 이루어지는 시일 부재(24)가 개재하여 설치되어 있고, 처리 용기(4)내의 시일성을 유지하고 있다.
상기한 회전축(20)은, 예를 들면 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 의해 지지된 아암(26)의 선단에 부착되어 있고, 웨이퍼 보트(12) 및 덮개부(18) 등을 일체적으로 승강하여 처리 용기(4) 내에 대하여 삽탈가능하게 이루어져 있다.
이 매니홀드(8)에는, 처리 용기(4)내에 반응 가스로서 예를 들면 질화 가스인 암모니아(NH3) 가스를 공급하는 반응 가스 공급 수단(28)과, 원료 가스로서 예를 들면 실리콘 함유 가스인 DCS(디클로로실란) 가스와 불활성 가스와의 혼합 가스를 공급하는 혼합 가스 공급 수단(30)과, 퍼지 가스로서 불활성 가스의 일종인, 예를 들면 N2 가스를 공급하는 퍼지 가스 공급 수단(34)이 설치된다. 그리고, 상기 혼합 가스 공급 수단(30)은 상기 혼합 가스를 형성하기 위하여 불활성 가스 공급계(32)에 접속된 가스 저류부(33)를 갖고 있다. 이 불활성 가스로서 전술한 바와 같이 N2 가스를 이용한다.
구체적으로는, 상기 반응 가스 공급 수단(28)은, 상기 매니홀드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 노즐(38)을 갖고 있다. 이 가스 노즐(38)에는, 그 길이 방향을 따라 복수(다수)의 가스 분사 구멍(38A)이 소정의 간격을 두고 형성되어 있고, 각 가스 분사 구멍(38A)으로부터 수평 방향을 향하여 대략 균일하게 암모니아 가스를 분사할 수 있도록 되어 있다. 이러한 타입의 가스 노즐을 분산형의 가스 노즐이라 칭한다.
또한, 마찬가지로 상기 혼합 가스 공급 수단(30)도, 상기 매니홀드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 노즐(40)을 갖고 있다. 이 가스 노즐(40)에는, 그 길이 방향을 따라 복수(다수)의 가스 분사 구멍(40A)(도 2 참조)이 소정의 간격을 두고 형성되어 있고, 각 가스 분사 구멍(40A)으로부터 수평 방향을 향하여 대략 균일하게 원료 가스인 DCS 가스와 불활성 가스인 N2 가스와의 혼합 가스를 분사할 수 있도록 되어 있다.
또한, 마찬가지로 퍼지 가스 공급 수단(34)도, 상기 매니홀드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 노즐(44)을 갖고 있다. 이 가스 노즐(44)에는, 그 길이 방향을 따라 복수(다수)의 가스 분사 구멍(44A)(도 2 참조)이 소정의 간격을 두고 형성되어 있고, 각 가스 분사 구멍(44A)으로부터 수평 방향을 향하여 대략 균일하게 N2 가스를 분사할 수 있도록 되어 있다.
상기 각 가스 노즐(38, 40, 44)에는, 각각의 가스 통로(48, 50, 54)가 접속되어 있다. 그리고, 각 가스 통로(48, 50, 54)에는, 매스 플로우 컨트롤러와 같은 유량 제어기(48A, 50A, 54A) 및 이들 유량 제어기(48A, 50A, 54A)의 바로 하류측에 위치된 개폐 밸브(48B, 50B, 54B)가 각각 개재하여 설치되어 있고, NH3 가스, DCS 가스 및 N2 가스를 각각 유량 제어하면서 흐를 수 있도록 되어 있다.
여기서, 상기 혼합 가스 공급 수단(30)은 상기 가스 저류부(33)를 갖고 있다. 구체적으로는, 이 가스 저류부(33)는 일정한 용량(체적)을 갖고, 상기 원료 가스의 가스 통로(50)의 도중이며 상기 개폐 밸브(50B)의 하류측에 개재하여 설치되어 있다. 또한, 상기 불활성 가스 공급계(32)는, 상기 퍼지 가스 공급 수단(34)의 가스 통로(54)의 도중에 설치된 유량 제어기(54A)의 상류측에서 분기되도록 하여 설치된 가스 통로(58)를 갖고 있다. 즉, 이 가스 통로(58)는 상기 가스 통로(54)와 상기 가스 저류부(33)를 접속하여 연통하도록 되어 있다.
그리고, 이 분기된 가스 통로(58)의 도중에는, 그 상류측으로부터 하류측을 향하여 매스 플로우 컨트롤러와 같은 유량 제어기(58A) 및 개폐 밸브(58B)가 순차적으로 설치되어 있고, 필요에 따라서 불활성 가스인 N2 가스(퍼지 가스이기도 함)를 유량 제어하면서 상기 가스 저류부(33)에 도입하여 일시 저류하고, 원료 가스와 불활성 가스의 혼합 가스를 형성할 수 있도록 되어 있다. 또한, 상기 분기된 가스 통로(58)의 하류측을 상기 가스 저류부(33)가 아니고, 이 가스 저류부(33)와 이 상류측의 개폐 밸브(50B) 사이의 원료 가스용의 가스 통로(50)의 도중에 접속하도록 하여도 된다.
그리고, 이 가스 저류부(33)의 하류측의 가스 통로(50)의 도중에는, 혼합 가스용의 개폐 밸브(60)가 설치되어 있고, 이 개폐 밸브(60)를 개폐 제어함으로써, 상기 처리 용기(4) 내로의 혼합 가스의 공급을 제어할 수 있도록 되어 있다. 상기 가스 저류부(33)의 용량(체적)은 처리 용기(4)의 크기에도 의존하지만, 예를 들면 1 내지 2리터 정도이다.
한편, 상기 처리 용기(4)의 측벽의 일부에는, 이 처리 용기(4)의 측벽을 상하 방향을 따라 소정의 폭으로 깎아 냄으로써 상하로 가늘고 긴 개구(70)가 형성되어 있고, 이 개구(70)를 그 외측으로부터 덮도록 하여 단면 오목부 형상으로 이루어진 상하로 가늘고 긴 예를 들면 석영제의 구획벽(72)을 처리 용기(4)의 외벽에 기밀하게 용접 접합함으로써 노즐 수용 오목부(74)가 형성되어 있다. 그리고, 이 노즐 수용 오목부(74)내로 상기 3개의 가스 노즐(38, 40, 44)이 수용되어 있다.
상기 개구(70)는 웨이퍼 보트(12)에 유지되어 있는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성되어 있다. 이 노즐 수용 오목부(74)에 대향하는 처리 용기(4)의 반대측에는, 이 내부 분위기를 진공 배기하기 위하여 처리 용기(4)의 측벽을, 예를 들면 상하 방향에 깎아냄으로써 형성된 가늘고 긴 배기구(76)가 형성되어 있다.
그리고, 이 배기구(76)에는, 이것을 덮도록 하여 석영으로 이루어지는 단면 U자형상으로 성형된 배기구 커버 부재(82)가 용접에 의해 부착되어 있다. 이 배기구 커버 부재(82)는 상기 처리 용기(4)의 측벽을 따라 상방으로 연장되어 있고, 처리 용기(4)의 상방의 가스 출구(84)에 연통되어 있다. 그리고, 이 가스 출구(84)에는, 배기계(86)가 접속되어 있다. 이 배기계(86)는 상기 가스 출구(84)에 접속되는 배기 통로(88)를 갖고 있고, 이 배기 통로(88)에는, 처리 용기(4)내의 압력을 조정하는 압력 조정 밸브(90), 진공 펌프(92) 및 배기 가스중의 유해 성분을 제거하는 제해 장치(93)가 순차적으로 개재하여 설치되어, 처리 용기(4) 내를 소정의 압력으로 유지하면서 진공화할 수 있도록 되어 있다. 그리고, 이 처리 용기(4)의 외주를 둘러싸도록 하여 이 처리 용기(4) 및 이 내부의 웨이퍼(W)를 가열하는 통체 형상의 가열 수단(94)이 설치되어 있다.
그리고, 이와 같이 구성된 성막 장치(2)의 전체의 동작, 예를 들면 프로세스 압력, 프로세스 온도, 각 개폐 밸브의 개폐에 의한 가스의 공급 개시 및 공급 정지, 가스 저류부(33)에의 원료 가스나 불활성 가스의 도입, 가스 유량의 제어 등은 예를 들면 컴퓨터 등으로 이루어지는 장치 제어부(96)에 의해 행해진다. 그리고, 이 장치 제어부(96)는 상기 제어를 행하기 위한 프로그램이 기억되어 있는 기억 매체(98)를 갖고 있다. 이 기억 매체(98)로서는, 예를 들면 플렉시블 디스크, CD(Compact Disc), CD-ROM, 하드디스크, 플래시 메모리 또는 DVD 등을 이용할 수 있다.
다음에, 이상과 같이 구성된 성막 장치(2)를 이용하여 행해지는 본 발명의 성막 방법(소위 ALD 성막)에 대하여 도 3을 참조하여 설명한다. 도 3은 본 발명의 성막 방법의 제1 실시예에 있어서의 각 가스의 처리 용기(4) 내로의 공급 양태와 가스 저류부로(33)의 차지의 양태를 나타내는 타이밍 차트이다.
본 발명의 성막 방법의 제1 실시예는, 원료 가스(DCS 가스)와 불활성 가스(N2가스)를 가스 저류부(33)에서 혼합하여 혼합 가스를 형성함과 함께 혼합 가스와 반응 가스(NH3가스)를 처리 용기(4) 내로 공급하여 박막(실리콘 질화막)을 형성하도록 한 것이다.
우선, 상온의 다수매, 예를 들면 50 내지 150매의 300㎜ 직경의 웨이퍼(W)가 재치된 상태의 웨이퍼 보트(12)를 미리 소정의 온도로 이루어진 처리 용기(4) 내에 그 하방으로부터 상승시켜 로드하고, 덮개부(18)로 매니홀드(8)의 하단 개구부를 폐쇄함으로써 처리 용기(4) 내를 밀폐한다.
그리고, 처리 용기(4) 내를 진공화하여 소정의 프로세스 압력으로 유지함과 함께, 가열 수단(94)에의 공급 전력을 증대시킴으로써, 웨이퍼(W)의 온도를 상승시켜 웨이퍼(W)를 프로세스 온도로 유지한다. 그리고, 상기 DCS 가스와 불활성 가스인 N2 가스를 가스 저류부(33)에서 혼합시킴으로써 혼합 가스를 형성하여 이 혼합 가스를 혼합 가스 공급 수단(30)으로부터 처리 용기(4) 내에 대하여 공급하고,반응 가스인 NH3 가스는 반응 가스 공급 수단(28)으로부터 처리 용기(4) 내에 대하여 공급하고, 퍼지 가스인 N2 가스는 퍼지 가스 공급 수단(34)으로부터 처리 용기(4) 내에 대하여 공급한다.
구체적으로는, 반응 가스 공급 수단(28)의 가스 통로(48)에 개재하여 설치된 개폐 밸브(48B)를 개폐함으로써 처리 용기(4)에 대한 NH3가스의 공급 개시와 공급 정지가 행해진다. 또한, 퍼지 가스 공급 수단(34)의 가스 통로(54)에 개재하여 설치된 개폐 밸브(54B)를 개폐함으로써 처리 용기(4)에 대한 퍼지 가스의 공급 개시와 공급 정지가 행해진다.
또한, 원료 가스에 관해서는, 혼합 가스 공급 수단(30)의 가스 통로(50)의 상류측에 설치된 원료 가스용의 개폐 밸브(50B)를 개폐함으로써 가스 저류부(33)에 대한 원료 가스의 도입 개시와 도입 정지가 행해진다. 또한, 이 가스 저류부(33)에 대한 불활성 가스의 도입 개시와 도입 정지는 불활성 가스 공급계(32)의 가스 통로(58)에 설치된 개폐 밸브(58B)를 개폐함으로써 행해진다. 또한, 가스 저류부(33) 내에서 형성된 혼합 가스의 처리 용기(4) 내로의 공급 개시와 공급 정지는 혼합 가스 공급 수단(30)의 가스 통로(50)의 하류측에 설치된 혼합 가스용의 개폐 밸브(60)를 개폐함으로써 행해진다.
상기 각 가스는, 대응하는 각 가스 노즐(38, 40, 44)의 각 가스 분사 구멍(38A, 40A, 44A)으로부터 수평 방향을 향하여 처리 용기(4) 내로 방출되고, 웨이퍼 보트(12)에 의해 회전 지지되어 있는 각 웨이퍼(W)의 표면으로 퍼지면서 웨이퍼(W)의 표면에 박막인 실리콘 질화막을 형성하고, 각 가스 노즐(38, 40, 44)의 대향측에 위치하는 배기구(76)를 통해 유출된다.
상술한 바와 같이, 성막 처리가 개시되면, 배기계(86)가 구동하여 진공 펌프(92)는 연속적으로 회전 구동되어 처리 용기(4)내의 분위기를 진공화하고 있다. 그리고, 상기 처리 용기(4)내에서 반응에 의해 발생한 반응 부생성물이나 미반응의 가스 성분은 배기 가스와 함께 배기계(86) 내를 흘러 배출된다. 이 때, 상기 배기 가스 중에 포함되는 유해 성분은 제해 장치(93)에서 제거되도록 되어 있다.
<성막 방법의 제1 실시예>
여기서, 도 3에 나타내는 제1 실시예에 대하여 설명한다. 도 3의 타이밍챠트 (a) 및 (b)는 처리 용기(4) 내에의 가스의 공급 양태를 나타내고, 타이밍챠트 (c) 및 (d)는 가스 저류부(33) 내에의 가스의 공급 양태를 나타낸다. 도 3과 같이, 제1 실시예에서는, 상기 혼합 가스와 반응 가스는 함께 간헐적으로 처리 용기(4) 내로 공급되고, 구체적으로는 이들 가스는 교대로 반복하여 공급된다.
제1 실시예에 따르면, 처리 용기(4) 내에 혼합 가스(DCS 가스+N2 가스)를 공급하여 혼합 가스를 반도체 웨이퍼(W)의 표면에 흡착시키는 흡착 공정[도 3의 타이밍챠드 (a) 참조]과, 이 처리 용기(4) 내로 반응 가스(NH3 가스)를 공급하여 상기 웨이퍼(W)의 표면에 흡착되어 있는 혼합 가스와 반응(질화)시켜 박막인 실리콘 질화막을 형성하는 반응 공정[도 3의 타이밍챠트(b) 참조]을 교대로 복수회 반복하여 행하도록 하고 있다. 여기서, 상기 반응 가스는 가열 수단(94)으로부터의 열에 의해 활성화되어 반응이 촉진되고 있다. 또한, 상기 혼합 가스의 공급시에는, 처리 용기(4)내에서의 혼합 가스의 웨이퍼(W)의 표면에의 흡착을 촉진시키기 위하여 압력 조정 밸브(90)의 밸브 개방도를 작게 하도록 변화시키고 있다.
상기 혼합 가스와 반응 가스는 펄스 형상으로 공급되고 있고, 어느 흡착 공정의 선두와 다음 흡착 공정의 선두 사이가 1사이클로 되며, 1회의 성막 처리에서, 예를 들면 필요로 하는 막 두께에 따라 다르지만 수 10사이클 내지 수 100사이클의 처리가 행해진다. 또한, 전체로서의 막 두께는 예를 들면 5 내지 50㎚ 정도이다.
도 1에 도시되지는 않았지만, 성막처리의 개시부터 퍼지 가스인 N2 가스는 연속적으로 처리 용기(4)내에 공급되고 있고, 각 가스의 흐름을 촉진시키고, 혼합 가스 및 반응 가스를 모두 공급하지 않는 휴지 기간에서는 처리 용기(4)내에 잔류하고 있는 잔류 가스의 배출을 촉진시키도록 하고 있다. 이 퍼지 가스는 전술한 바와 같이 흘려도 되고, 또는 흐르지 않도록 하여도 된다.
그런데, 이러한 성막 처리가 행해지고 있는 동안에, 상기 가스 저류부(33)에 있어서는 원료 가스(DCS 가스)와 불활성 가스(N2 가스)와의 혼합 가스가 형성되어 있다. 구체적으로는, 혼합 가스의 처리 용기(4) 내에의 공급을 휴지하고 있는 동안, 즉 가스 통로(50)에 개재하여 설치된 혼합 가스용의 개폐 밸브(60)를 닫고 있을 때에, 각 사이클에 있어서 상기 가스 저류부(33)에 DCS 가스와 N2 가스를 각각 펄스 형상으로 도입하여 가스 저류부(33)에 일시적으로 저류함과 함께 이 때에 상기 혼합 가스를 형성하고 있다[도 3의 타이밍챠트 (c) 및 (d) 참조].
또한, 성막 처리의 최초의 제1 사이클을 실행할 때에는, 그 직전에 가스 저류부(33)내에 DCS 가스와 N2 가스를 도입하여 미리 제1 사이클용의 혼합 가스를 형성해 둔다. 또한, DCS 가스의 공급 기간과 N2 가스의 공급 기간은, 양자간의 가스의 역류를 방지하기 위하여 약간의 시간 ΔT만큼 어긋나 있다. 상기 DCS 가스의 공급 압력과 N2 가스의 공급 압력이 동일한 경우에는, 상기 가스의 역류가 생기지 않으므로, 상기 양쪽 가스를 동시에 가스 저류부(33) 내로 도입하도록 하여도 된다. 또한, 상기 혼합 가스의 DCS 가스의 농도(체적 비율)를 조정하기 위하여는, DCS 가스의 공급 기간(펄스 폭)이나 N2 가스의 공급 기간(펄스 폭)을 적절히 컨트롤하면 된다.
여기서, 상기 성막 처리에 있어서의 프로세스 조건에 대하여 설명하면, 프로세스 온도는 450 내지 700℃ 정도, 프로세스 압력은 0.133 내지 1330Pa의 범위내에서 변화시키고, 흡착 공정 시에는 상기 압력 범위내에서 전술한 바와 같이 프로세스 압력이 높아지도록 설정되어 있다. 또한, 1사이클의 길이는 10수초 내지 수10초 정도, 흡착 공정에 있어서의 혼합 가스의 1회의 공급 기간 T1은 1초 내지 수초 정도, 반응 공정에 있어서의 NH3 가스의 공급 기간 T2는 1초 내지 수초 정도이다.
또한, 가스 저류부(33)에 대한 DCS 가스의 공급 기간 T3 및 N2 가스의 공급 기간 T4는 각각 1초 내지 수초 정도이다. 이 DCS 가스의 유량은, 예를 들면 2slm 정도, N2 가스의 유량은, 예를 들면 0.2slm 정도이다. 여기에서 설명한 상기 각 기간의 길이 T1 내지 T4는 단지 일 예를 나타낸 것에 지나지 않고, 상기한 수치예에 한정되지 않는 것은 물론이다. 또한, 가스 저류부(33)내의 압력은 350 내지 450Torr 정도이다.
전술한 바와 같이 원료 가스(DCS) 가스를 불활성 가스(N2)와 혼합시켜 원료 가스를 희석하도록 형성한 혼합 가스와 반응 가스(NH3)를 이용하여 박막을 형성하도록 하였으므로, 성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선할 수 있다. 이 경우, 상기 가스 저류부(33)내의 혼합 가스 중의 원료 가스의 체적 비율은 1/2(50%) 내지 1/8(12.5%)의 범위 내이다.
상기 원료 가스의 체적 비율이 1/2보다도 큰 경우에는, 원료 가스의 농도가 지나치게 높아져, 이 결과 회전하고 있는 웨이퍼(W)의 중앙부에 있어서의 원료 가스의 흡착량이 주변부보다도 많아지게 되어, 막 두께의 단면은 웨이퍼(W)의 중앙부에서 고조되는 단면 볼록부 형상의 성막 상태로 되어 막 두께의 면내 균일성이 저하되어 버린다. 이에 대하여, 원료 가스의 체적 비율이 1/8보다도 작은 경우에는, 원료 가스의 농도가 지나치게 낮아지고, 이 결과 회전하고 있는 웨이퍼(W)의 주변부에 있어서의 원료 가스의 흡착량이 중앙부와 비교하여 많아지게 되어, 막 두께의 단면은 웨이퍼 중앙부가 아래로 우묵하게 들어간 단면 오목부 형상의 성막 상태로 되어 막 두께의 면내 균일성이 저하되어 버린다.
이와 같이, 본 발명에 따르면, 원료 가스와 반응 가스를 이용하여 피처리체의 표면에 박막을 형성하는 방법에 있어서, 원료 가스와 불활성 가스를 가스 저류부에서 혼합하여 혼합 가스를 형성함과 함께 혼합 가스와 반응 가스를 처리 용기내로 공급하여 박막을 형성함으로써, 성막 레이트를 높게 유지하면서 막 두께의 면내 균일성도 개선할 수 있다.
<본 발명의 성막 방법의 평가>
다음에, 본 발명의 성막 방법의 평가 실험을 하였고, 그 평가 결과에 대하여 도 4를 참조하여 설명한다. 여기에서는, 도 1 및 도 2에 나타내는 성막 장치를 이용하여 도 3에 도시한 바와 같은 본 발명의 제1 실시예에 의해 박막을 형성하였다. 원료 가스로서 DCS 가스를 이용하고, 반응 가스로서 질화 가스인 NH3 가스를 이용하며, 불활성 가스로서 N2 가스를 이용하여 박막으로서 실리콘 질화막을 형성하였다.
이 때의 막 두께 및 막 두께의 면내 균일성을 도 4에 나타낸다. 도 4는 본 발명의 제1 실시예에 따른 성막 방법을 실시하였을 때의 평가 결과를 나타내는 그래프이다. 여기에서는, 혼합 가스 중의 DCS 가스의 비율을 50%(체적 비율:1/2), 25%(체적 비율:1/4), 12.5%(체적 비율:1/8)로 각각 변화시켜 성막하였을 때의 막 두께를 측정하고, 막 두께의 면내 균일성을 구하였다. 또한, 종래 이용되고 있던 성막 방법을 비교예로서 행하고, DCS 가스를 아무런 희석하지 않고 100% 농도의 DCS 가스를 이용하여 성막하였을 때의 값도 병기하였다.
성막시의 사이클수는 모두 100 내지 140사이클에서 행하였다. 그래프의 좌측의 종축에 막 두께를 취하고, 우측의 종축에 막 두께의 면내 균일성을 취하고 있다. 또한, 처리 용기내에는 웨이퍼 보트에 지지된 125매의 반도체 웨이퍼(W)를 수용하고 있고, 이것을 높이 방향으로, 그 상방부터 하방을 향하여 톱 "T", 톱 센터 "TC", 센터 "C", 센터 보텀 "CB" 및 보텀 "B"의 5개의 영역으로 구분하고, 각각의 영역에 있어서의 막 두께의 값을 측정하였다.
도 4로부터 명백해지는 바와 같이, 비교예의 경우에는 막 두께는 70 내지 73Å 정도로서 비교적 성막 레이트는 높지만, 막 두께의 면내 균일성은 "T", "TC", "C", "CB" 및 "B"의 모든 영역에 있어서 ±1.5% 전후로서, 그다지 양호하지 않았다. 이것에 대하여, 본 발명의 성막 방법의 50%DCS(체적 비율:1/2)의 경우에는, 막 두께는 80Å 정도로서 비교예보다도 조금 양호하고, 또한 막 두께의 면내 균일성은 "T", "TC", "C", "CB" 및 "B"의 모든 영역에 있어서 ±1.0% 전후로서, 비교예 보다 양호한 값을 나타내고 있다.
또한, 본 발명 방법의 25%DCS(체적 비율:1/4)의 경우에는, 막 두께는 60Å 정도로서 비교예보다도 조금 저하되어 있지만, 막 두께의 면내 균일성은 "T", "TC", "C", "CB" 및 "B"의 모든 영역에 있어서 ±0.5% 전후로서 더욱 양호한 값을 나타내고 있다. 또한, 본 발명 방법의 12.5%DCS(체적 비율:1/8)의 경우에는, 막 두께는 68Å 정도로서 비교예 보다 약간 저하되어 있지만, 막 두께의 면내 균일성은 "T", "TC", "C", "CB" 및 "B"의 모든 영역에 있어서 ±0.8% 전후로서 비교예 보다 양호한 값을 나타내고 있다. 이 결과, 혼합 가스 중의 원료 가스의 체적 비율은 1/2(50%) 내지 1/8(12.5%)의 범위 내가 양호한 것을 알았다. 또한, 혼합 가스 중의 원료 가스의 체적 비율이 1/8(12.5%) 보다 작은 경우에는, 막으로 되는 원료 가스의 농도가 지나치게 낮기 때문에 웨이퍼 전체에 원료 가스를 충분히 공급하는 것이 가능하지 않아, 바람직하지 않았다.
<제2 및 제3 실시예>
앞의 제1 실시예에서는, 도 3의 타이밍챠트 (a) 및 (b)에 도시한 바와 같이, 혼합 가스와 반응 가스는 교대로 처리 용기(4) 내에 펄스 형상으로 공급되도록 하였지만, 이에 한정되지 않고, 도 5의 (a) 및 (b)에 도시한 바와 같이 공급되도록 하여도 된다. 도 5의 (a) 및 (b)는 각각 본 발명의 성막 방법의 제2 실시예 및 제3 실시예에 있어서의 각 가스의 처리 용기에의 공급 양태를 나타내는 타이밍 차트이다.
도 5의 (a)에 도시하는 제2 실시예에서는, 혼합 가스와 반응 가스는 간헐적으로 펄스 형상으로 공급되고, 게다가 이들 가스를 동시에 처리 용기(4) 내로 공급하도록 하고 있다. 이 경우도, 양쪽 가스를 공급하지 않는 휴지 시간은 퍼지 기간으로 되어 있다. 도 5의 (b)에 도시하는 제3 실시예에서는, 혼합 가스는 간헐적으로 펄스 형상으로 공급되는 한편, 반응 가스는 연속적으로 공급되도록 하고 있다. 이들 제2 및 제3 실시예의 경우에도, 앞의 제1 실시예와 마찬가지의 작용 효과를 발휘할 수 있다.
<제4 실시예>
앞의 제1 실시예에 있어서는 성막 처리중에 퍼지 가스 공급 수단(34)을 이용하여 퍼지 가스를 처리 용기(4) 내로 주로 연속적으로 공급하고 있는 경우를 예로 들어 설명하였지만, 이에 한정되지 않고, 이하의 제4 실시예에 도시한 바와 같이 이 퍼지 가스를 간헐적으로 공급하도록 하여도 된다.
도 6은 본 발명의 성막 방법의 제4 실시예에서의 각 가스의 처리 용기에의 공급 양태를 나타내는 타이밍 차트이다. 또한, 도 6에서는 가스 저류부에의 가스의 공급 양태를 나타내는 타이밍 차트는 도 3에 나타내는 경우와 동일하므로, 그 기재는 생략하고 있다. 도 6 중의 타이밍챠트 (a) 및 (b)는 도 3 중의 타이밍챠트 (a) 및 (b)와 각각 동일하다
이 제4 실시예에서는, 상기 혼합 가스를 공급하기 이전 상기 반응 가스를 공급하기 이전 중의 적어도 어느 한쪽에 있어서 상기 처리 용기(4) 내의 잔류 가스를 배제하는 퍼지 공정을 행하도록 하고 있다. 이 경우, 혼합 가스를 공급하는 공정, 즉 흡착 공정의 이전과 반응 가스를 공급하는 공정, 즉 반응 공정의 이전 중의 쌍방에 있어서 퍼지 공정을 행하도록 하여도 되고, 흡착 공정의 이전 또는 반응 공정의 이전에만 퍼지 공정을 행하도록 하여도 된다.
도 6에 나타내는 경우에는, 각 흡착 공정의 이전과 각 반응 공정 이전의 쌍방에 있어서 퍼지 공정을 행하도록 되어 있다. 바꾸어 말하면, 여기에서는 혼합 가스를 공급하는 흡착 공정과 반응 가스를 공급하는 반응 공정 사이의 각 휴지 기간에 있어서, 퍼지 가스 공급 수단(34)(도 1 참조)을 이용하여 처리 용기(4)내에 불활성 가스인 예를 들면 N2 가스를 공급하여 퍼지 공정을 행하고 있다[도 6의 타이밍챠트 (c) 참조]. 또한, 도 6의 경우에는, 최초의 흡착 공정의 이전에도 처리 용기(4)내의 잔류 가스의 배제를 확실하게 하기 위하여 퍼지 공정을 행하고 있다.
상기 퍼지 공정에서는, 퍼지 기간의 전 기간에 걸쳐 퍼지 가스를 흐르게 하여도 되고, 퍼지 기간내에서 퍼지 가스를 펄스 형상으로 흐르게 하여도 된다. 이 경우, 1회의 퍼지 기간 중의 펄스수는 1펄스, 또는 복수 펄스라도 되고, 특히 퍼지 효과를 발휘하기 위하여는 퍼지 가스를 복수 펄스만큼 흐르게 함으로써 처리 용기(4)내에 가스류의 공급의 개시와 정지의 충격을 복수로 할당하도록 하고, 이에 의해 잔류 가스의 배출을 촉진시키도록 하여도 된다.
도 6의 타이밍챠트 (c)에서는, 1회의 퍼지 기간 동안에 2펄스의 퍼지 가스를 공급하도록 하고 있지만, 이 펄스수에 제한은 없고, 더욱 많은 펄스수로 퍼지 가스를 공급하도록 하여도 된다. 여기서 도 6 중의 퍼지 기간 T5, T6은 각각 1초 내지 30초 정도이며, 1펄스의 기간 T7은 1초 내지 5초 정도이다.
이와 같이, 퍼지 공정을 행함으로써, 처리 용기(4) 내에 남은 잔류 가스를 효율적으로 처리 용기(4) 밖으로 배제할 수 있다. 이 결과, 예를 들면 디바이스 패턴상에서의 퍼지 효과가 개선되어, 패턴 바닥에 발생하는 이음매 형상의 결함의 발생을 억제할 수 있다. 특히, 1회의 퍼지 기간에 있어서 펄스 형상으로 복수회 퍼지 가스를 공급하도록 한 경우에는, 상기한 퍼지 효과를 한층 향상시킬 수 있다. 또한, 상기 퍼지 공정에 대하여는, 도 5의 (a)에 나타내는 제2 실시예에도 적용할 수 있다.
<막종의 변형예>
상기 각 실시예에서는, 불순물을 포함하지 않는 실리콘 질화막(SiN막)을 성막하는 경우를 예로 들어 설명하였지만, 본 발명은 이에 한정되지 않고, 처리 용기(4) 내로 불순물 원소를 포함하는 불순물 가스를 도입하여 불순물 원소를 포함하는 실리콘 질화막을 형성하도록 하여도 된다. 이 불순물 원소로서는, 예를 들면 붕소(B)나 탄소(C) 등을 들 수 있고, 불순물 함유의 실리콘 질화막으로서 SiBN막이나 SiCN막 등도 형성할 수 있다. 이 경우, 불순물 가스로서는, BCl3 가스나 탄화수소 가스, 예를 들면 에틸렌 가스 등을 이용할 수 있다.
이 불순물 가스를 공급할 때의 장치 예를 도 7a 및 7b에 도시한다. 도 7a 및 도 7b는 불순물 가스 공급 수단의 일 예를 도시하는 도면이다. 또한, 불순물 가스 공급 수단을 제외한 다른 부분의 구성은 도 1 및 도 2에 나타내는 경우와 동일하다. 도 7a에 나타내는 불순물 가스 공급 수단(100)은, 처리 용기(4) 내에 설치되는 가스 노즐(102)을 갖고, 이 가스 노즐(102)에 불순물 가스를 흘리는 가스 통로(106)에 접속되어 있다. 그리고, 이 가스 통로(106)에, 매스 플로우 컨트롤러와 같은 유량 제어기(106A) 및 개폐 밸브(106B)를 개재하여 설치하고, 가스 노즐(102)에 형성되는 다수의 가스 분사 구멍(102A)으로부터 불순물 가스를 처리 용기(4) 내로 직접적으로 공급할 수 있도록 되어 있다.
또한, 도 7b에 나타내는 불순물 가스 공급 수단(100)은, 불순물 가스를 흘리는 가스 통로(106)의 선단을 가스 저류부(33)에 직접적으로 접속하고 있고, 이 가스 통로(106)에 유량 제어기(106A) 및 개폐 밸브(106B)를 개재하여 설치하고 있다. 이에 의해, 가스 저류부(33) 내에서 혼합 가스를 형성할 때에, 이 가스 저류부(33) 내로 불순물 가스를 도입하도록 하고 있다. 이에 의해, DCS 가스와 N2 가스와 불순물 가스가 혼합된 혼합 가스를 형성하고, 이 불순물 가스가 함유된 혼합 가스를 처리 용기(4) 내로 공급할 수 있도록 되어 있다. 이상의 구성에 의해, 불순물 원소를 포함하는 실리콘 질화막을 형성할 수 있다.
<활성화 수단을 이용한 장치 예>
상기 각 실시예에서는, 반응 가스를 열에 의해 활성하는 경우를 예로 들어 설명하였지만, 본 발명은 이에 한정되지 않고, 도 8에 도시한 바와 같이 플라즈마를 형성하는 활성화 수단을 이용한 성막 장치에도 본 발명을 적용할 수 있다. 도 8은 활성화 수단을 이용한 성막 장치의 일 예를 나타내는 부분 구성도이다. 도 8에 있어서, 다른 구성 부분은 도 1 및 도 2에 나타낸 경우와 동일하다. 이 성막 장치에서는 활성화 수단에 의해 플라즈마를 형성하고, 이 플라즈마에 의해 반응 가스를 활성화하여 성막 반응을 촉진시키도록 하고 있다.
이 성막 장치에서는, 도 8에 나타내는 처리 용기(4)의 측벽에 설치한 노즐 수용 오목부(74)를 구획하는 구획벽(72)의 외벽면을 따라 활성화 수단인 플라즈마 전극(110)을 설치하고, 이 플라즈마 전극(110)에 고주파 전원(112)으로부터의 고주파 전력이 인가되어 있다. 이에 의해 노즐 수용 오목부(74)내에 플라즈마를 형성한다. 이 경우에는, 노즐 수용 오목부(74) 내가 플라즈마실로서도 기능하게 된다.
또한, 반응 가스 이외의 다른 가스를 활성화시키지 않기 위하여는, 이 노즐 수용 오목부(74)내에는 반응 가스용의 가스 노즐(38)만 수용하고, 다른 가스 노즐(40, 44)은 원통형의 처리 용기(4)의 내벽과 웨이퍼(W)[웨이퍼 보트(12)] 사이의 간극에 위치시키도록 한다. 이 경우에는, 플라즈마에 의해 성막 레이트를 대폭 향상시킬 수 있고, 또한 성막 처리의 저온화도 달성할 수 있다.
또한, 상기 각 실시예에서는, 노즐 수용 오목부(74)를 설치한 처리 용기(4)를 예로 들어 설명하였지만, 노즐 수용 오목부(74)를 설치하지 않은 처리 용기에 대하여도 본 발명을 적용할 수 있다. 이 경우에는, 각 가스 노즐(38, 40, 44)을 원통형의 처리 용기(4)의 내벽과 웨이퍼 보트(12) 사이의 간극에 위치시키도록 한다.
또한, 상기 각 실시예에서는, 한번에 복수매의 반도체 웨이퍼에 성막 처리할 수 있는, 소위 배치식의 성막 장치를 예로 들어 설명하였지만, 이에 한정되지 않고, 본 발명은 반도체 웨이퍼를 1매씩 성막 처리하는, 소위 매엽식의 성막 장치에도 적용할 수 있다.
또한, 여기서는 불활성 가스로서 N2 가스를 이용하였을 경우를 예로 들어 설명하였지만, 이에 한정되지 않고, Ar, He 등의 희가스를 이용할 수 있다. 여기에서는 원료 가스로서 실리콘 함유 가스인 DCS 가스를 이용하고 있지만, 이 실리콘 함유 가스로서는 DCS 가스에 한정되지 않고, 상기 실리콘 함유 가스로서는, 디클로로실란(DCS), 헥사클로로디실란(HCD), 모노실란[SiH4], 디실란[Si2H6], 헥사메틸디실라잔(HMDS), 트리클로로실란(TCS), 디실아민(DSA), 트리시릴아민(TSA), 비스타샬부틸아미노실란(BTBAS), 비스디에틸아미노실란(BDEAS), 디이소프로필아미노실란(DIPAS), 트리디메틸아미노실란(3DMAS)으로 이루어지는 그룹으로부터 선택되는 1개 이상의 가스를 이용할 수 있다.
또한, 상기 반응 가스로서 질화 가스를 이용하고, 이 질화 가스로서 NH3 가스를 이용하였지만, 이에 한정되지 않고, 일산화이질소(N2O)나 일산화질소(NO) 등을 이용하여도 된다. 또한, 여기서는 원료 가스로서 실리콘(Si)을 포함하는 원료 가스를 이용하여 실리콘 질화막을 형성하는 경우를 예로 들어 설명하였지만, 이에 한정되지 않고, 원료 가스로서 금속을 포함하는 금속 화합물 가스를 이용하여 박막으로서 금속 질화막이나 금속 산화막 등을 형성하도록 하여도 된다.
이 금속 화합물 가스로서는, 유기 금속 화합물 가스를 이용할 수 있지만, 이 유기 금속 화합물 가스로서는, 트리메틸알루미늄(TMA), 테트라키스디메틸아미노하프늄(TDMAH), 테트라키스에틸메틸아미노하프늄(TEMAH), 테트라키스에틸메틸아미노지르코늄(TEMAZ), 테트라키스디메틸아미노티탄(TDMAT)으로 이루어지는 그룹으로부터 선택되는 1개 이상의 가스를 이용할 수 있다. 이 경우에는, 상기 반응 가스로서는, 질화 가스와 산화 가스와 환원 가스로 이루어지는 그룹으로부터 선택되는 하나의 가스를 이용할 수 있다.
또한, 여기서는 피처리체로서 반도체 웨이퍼를 예로 들어 설명하였지만, 이 반도체 웨이퍼에는 실리콘 기판이나 GaAs, SiC, GaN 등의 화합물 반도체 기판도 포함되고, 또한 이들 기판에 한정되지 않고, 액정 표시 장치에 이용하는 글래스 기판이나 세라믹 기판 등에도 본 발명을 적용할 수 있다.
2 : 성막 장치
4 : 처리 용기
12 : 웨이퍼 보트(유지 수단)
18 : 덮개부
28 : 반응 가스 공급 수단
30 : 혼합 가스 공급 수단
33 : 가스 저류부
34 : 퍼지 가스 공급 수단
86 : 배기계
94 : 가열 수단
96 : 장치 제어부
98 : 기억 매체
100 : 불순물 가스 공급 수단
110 : 플라즈마 전극(활성화 수단)
W : 반도체 웨이퍼(피처리체)

Claims (19)

  1. 원료 가스와 반응 가스를 이용하여 진공화 가능하게 이루어진 처리 용기내에서 피처리체의 표면에 박막을 형성하는 성막 방법에 있어서,
    상기 원료 가스와 불활성 가스를 가스 저류부에서 혼합하여 혼합 가스를 형성함과 함께 상기 혼합 가스와 상기 반응 가스를 상기 처리 용기내에 공급하여 상기 박막을 형성하도록 한
    성막 방법.
  2. 제1항에 있어서,
    상기 혼합 가스와 상기 반응 가스는 함께 간헐적으로 공급되는
    성막 방법.
  3. 제2항에 있어서,
    상기 혼합 가스와 상기 반응 가스는 교대로 반복하여 공급되는
    성막 방법.
  4. 제2항에 있어서,
    상기 혼합 가스와 상기 반응 가스는 동시에 공급되는
    성막 방법.
  5. 제2항 내지 제4항 중 어느 한 항에 있어서,
    상기 처리 용기내에는, 퍼지 가스가 연속적으로 공급되고 있는
    성막 방법.
  6. 제2항 내지 제4항 중 어느 한 항에 있어서,
    상기 혼합 가스를 공급하기 이전과 상기 반응 가스를 공급하기 이전 중 어느 한쪽에 있어서 상기 처리 용기내의 잔류 가스를 배제하는 퍼지 공정을 행하는
    성막 방법.
  7. 제6항에 있어서,
    상기 퍼지 공정에서는 불활성 가스를 펄스 형상으로 복수 회 공급하는
    성막 방법.
  8. 제1항에 있어서,
    상기 혼합 가스는 간헐적으로 공급되고, 상기 반응 가스는 연속적으로 공급되는
    성막 방법.
  9. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 가스 저류부 내에 있어서의 상기 혼합 가스 중의 상기 원료 가스의 체적 비율은 1/2 내지 1/8의 범위 내인
    성막 방법.
  10. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 가스 저류부에는 상기 원료 가스와 상기 불활성 가스가 서로 시간적으로 어긋나게 도입되는
    성막 방법.
  11. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 가스 저류부에는 상기 원료 가스와 상기 불활성 가스가 동시에 도입되는
    성막 방법.
  12. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 반응 가스는 질화 가스와, 산화 가스와, 환원 가스로 이루어지는 그룹으로부터 선택되는 하나의 가스인
    성막 방법.
  13. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 반응 가스는 열에 의해 활성화되는
    성막 방법.
  14. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 반응 가스는 플라즈마에 의해 활성화되는
    성막 방법.
  15. 제1항 내지 제4항 및 제8항 중 어느 한 항에 있어서,
    상기 처리 용기내에는 불순물 원소를 포함하는 불순물 가스가 공급되는
    성막 방법.
  16. 제15항에 있어서,
    상기 가스 저류부에는 상기 불순물 가스가 도입되는
    성막 방법.
  17. 원료 가스와 반응 가스를 이용하여 피처리체의 표면에 박막을 형성하는 성막 장치에 있어서,
    진공화 가능하게 이루어진 처리 용기와,
    상기 피처리체를 상기 처리 용기내에서 유지하는 유지 수단과,
    상기 피처리체를 가열하는 가열 수단과,
    상기 원료 가스를 흘리는 가스 통로의 도중에 설치됨과 함께 불활성 가스를 공급하는 불활성 가스 공급계에 접속되어 상기 원료 가스와 상기 불활성 가스와의 혼합 가스를 형성하는 가스 저류부를 갖고 상기 처리 용기내에 상기 혼합 가스를 공급하는 혼합 가스 공급 수단과,
    상기 처리 용기내에 상기 반응 가스를 공급하는 반응 가스 공급 수단과,
    제1항 내지 제4항 및 제8항 중 어느 한 항에 기재된 성막 방법을 실시하도록 장치 전체를 제어하는 장치 제어부
    를 구비한 성막 장치.
  18. 제17항에 있어서,
    상기 반응 가스를 활성화시키기 위한 활성화 수단을 갖는
    성막 장치.
  19. 제17항에 있어서,
    불순물 원소를 포함하는 불순물 가스를 공급하는 불순물 가스 공급 수단을 갖는
    성막 장치.
KR1020130061007A 2012-06-02 2013-05-29 성막 방법 및 성막 장치 KR101645775B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2012-126632 2012-06-02
JP2012126632 2012-06-02
JP2013035472A JP5920242B2 (ja) 2012-06-02 2013-02-26 成膜方法及び成膜装置
JPJP-P-2013-035472 2013-02-26

Publications (2)

Publication Number Publication Date
KR20130135762A true KR20130135762A (ko) 2013-12-11
KR101645775B1 KR101645775B1 (ko) 2016-08-04

Family

ID=49670745

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130061007A KR101645775B1 (ko) 2012-06-02 2013-05-29 성막 방법 및 성막 장치

Country Status (4)

Country Link
US (1) US9076649B2 (ko)
JP (1) JP5920242B2 (ko)
KR (1) KR101645775B1 (ko)
TW (1) TWI612582B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170100441A (ko) * 2016-02-25 2017-09-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
KR20190049334A (ko) * 2017-03-28 2019-05-09 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
KR20200132757A (ko) * 2019-05-17 2020-11-25 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5824372B2 (ja) * 2012-01-25 2015-11-25 東京エレクトロン株式会社 処理装置及びプロセス状態の確認方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6347705B2 (ja) * 2014-09-17 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016157401A1 (ja) * 2015-03-31 2016-10-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6419982B2 (ja) * 2015-09-30 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10297439B2 (en) * 2016-02-25 2019-05-21 Tokyo Electron Limited Film forming method and film forming system
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018093029A (ja) * 2016-12-01 2018-06-14 東京エレクトロン株式会社 成膜処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018135545A (ja) * 2017-02-20 2018-08-30 株式会社エンプラス 微小流路のコーティング装置および微小流路のコーティング方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6830878B2 (ja) * 2017-09-28 2021-02-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
JP6756689B2 (ja) * 2017-10-13 2020-09-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP6763577B2 (ja) * 2017-12-28 2020-09-30 株式会社エー・シー・イー 気相成長装置及び気相成長システム
JP6955260B2 (ja) * 2017-12-28 2021-10-27 株式会社エー・シー・イー 気体供給装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102034766B1 (ko) * 2018-04-12 2019-10-22 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113818006B (zh) * 2020-06-19 2023-11-17 拓荆科技股份有限公司 一种薄膜制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023127031A1 (ja) * 2021-12-27 2023-07-06 株式会社Kokusai Electric 基板処理装置、処理容器、半導体装置の製造方法及びプログラム

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JPH1187341A (ja) 1997-09-12 1999-03-30 Toshiba Corp 成膜方法及び成膜装置
JP2004006801A (ja) 2002-04-11 2004-01-08 Hitachi Kokusai Electric Inc 縦型半導体製造装置
JP2006287194A (ja) 2005-03-09 2006-10-19 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP2010090413A (ja) 2008-10-04 2010-04-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2012033874A (ja) * 2010-06-28 2012-02-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012067328A (ja) 2010-09-21 2012-04-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2012104720A (ja) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び半導体装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4695343B2 (ja) 2002-04-11 2011-06-08 株式会社日立国際電気 縦型半導体製造装置
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4863296B2 (ja) * 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
JP2010147139A (ja) * 2008-12-17 2010-07-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US8895962B2 (en) * 2010-06-29 2014-11-25 Nanogram Corporation Silicon/germanium nanoparticle inks, laser pyrolysis reactors for the synthesis of nanoparticles and associated methods

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JPH1187341A (ja) 1997-09-12 1999-03-30 Toshiba Corp 成膜方法及び成膜装置
JP2004006801A (ja) 2002-04-11 2004-01-08 Hitachi Kokusai Electric Inc 縦型半導体製造装置
JP2006287194A (ja) 2005-03-09 2006-10-19 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP2010090413A (ja) 2008-10-04 2010-04-22 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2012033874A (ja) * 2010-06-28 2012-02-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012067328A (ja) 2010-09-21 2012-04-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2012104720A (ja) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び半導体装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170100441A (ko) * 2016-02-25 2017-09-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
KR20190049334A (ko) * 2017-03-28 2019-05-09 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
KR20200132757A (ko) * 2019-05-17 2020-11-25 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Also Published As

Publication number Publication date
KR101645775B1 (ko) 2016-08-04
TWI612582B (zh) 2018-01-21
US20130323935A1 (en) 2013-12-05
US9076649B2 (en) 2015-07-07
JP2014007378A (ja) 2014-01-16
TW201405663A (zh) 2014-02-01
JP5920242B2 (ja) 2016-05-18

Similar Documents

Publication Publication Date Title
KR101645775B1 (ko) 성막 방법 및 성막 장치
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 成膜方法及び成膜装置
KR101503725B1 (ko) 성막 방법 및 성막 장치
JP4935687B2 (ja) 成膜方法及び成膜装置
KR101314002B1 (ko) SiCN막 성막 방법
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
JP4396547B2 (ja) 成膜方法、成膜装置及び記憶媒体
KR101682273B1 (ko) 성막 방법 및 성막 장치
JP5887962B2 (ja) 成膜装置
KR20070100125A (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 방법
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8734901B2 (en) Film deposition method and apparatus
CN112640061A (zh) 基板处理装置、半导体装置的制造方法及程序
JP5082595B2 (ja) 成膜装置
KR102219786B1 (ko) 성막 방법 및 성막 시스템
US10297439B2 (en) Film forming method and film forming system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right