KR20100038274A - 종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체 - Google Patents

종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체 Download PDF

Info

Publication number
KR20100038274A
KR20100038274A KR1020090093078A KR20090093078A KR20100038274A KR 20100038274 A KR20100038274 A KR 20100038274A KR 1020090093078 A KR1020090093078 A KR 1020090093078A KR 20090093078 A KR20090093078 A KR 20090093078A KR 20100038274 A KR20100038274 A KR 20100038274A
Authority
KR
South Korea
Prior art keywords
gas
supply
source gas
processing region
processing
Prior art date
Application number
KR1020090093078A
Other languages
English (en)
Other versions
KR101287725B1 (ko
Inventor
마사노부 마쯔나가
노부따께 노데라
가즈히데 하세베
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100038274A publication Critical patent/KR20100038274A/ko
Application granted granted Critical
Publication of KR101287725B1 publication Critical patent/KR101287725B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

종형 뱃치 CVD 장치에 있어서, 성막 방법은 사이클을 복수회 반복하여, 각 회마다 형성되는 박막을 적층하도록 구성된다. 사이클은 원료 가스를 피처리 기판의 표면에 흡착시키는 흡착 공정과, 반응 가스를 흡착 원료 가스와 반응시키는 반응 공정을 교대로 구비한다. 흡착 공정은 반응 가스의 공급의 차단을 유지하면서, 처리 영역에 대한 원료 가스의 공급을 행하는 공급 서브 공정을, 처리 영역에 대한 원료 가스의 공급을 정지하는 개재 서브 공정을 사이에 넣어 복수회 행하도록 구성된다. 반응 공정은 원료 가스의 공급의 차단을 유지하면서, 처리 영역에 대한 반응 가스의 공급을 연속적으로 행하도록 구성된다.
종형 뱃치 CVD 장치, 반응 가스, 흡착 원료 가스, DCS 가스, 웨이퍼 보트

Description

종형 뱃치 CVD 장치, 종형 뱃치 CVD 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체 {VERTICAL BATCH CVD APPARATUS, FILM FORMATION METHOD IN VERTICAL BATCH CVD APPARATUS AND COMPUTER READABLE MEDIUM}
본 발명은 종형 뱃치 CVD 장치에 있어서의 성막 방법 및 이것을 행하기 위한 장치 및 컴퓨터로 판독 가능한 매체에 관한 것으로, 특히 반도체 웨이퍼 등의 피처리 기판 상에 반응 생성막을 형성하는 반도체 처리 기술에 관한 것이다. 여기서, 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)와 같은 FPD(Flat Panel Display)용 글래스 기판 등의 피처리 기판 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리 기판, 예를 들어 반도체 웨이퍼에 성막, 에칭, 산화, 확산, 개질, 어닐, 자연 산화막의 제거 등의 각종 처리가 실시된다. US 2006/0286817 A1은 종형의(소위, 뱃치식) 열처리 장치에 있어서의 이러한 종류의 반도체 처리 방법을 개시한다. 이 방 법에서는, 우선, 반도체 웨이퍼가 웨이퍼 카세트로부터 종형의 웨이퍼 보트 상에 이동 적재되어 다단으로 지지된다. 웨이퍼 카세트에는, 예를 들어 25매의 웨이퍼를 수용할 수 있고, 웨이퍼 보트에는 30 내지 150매의 웨이퍼를 적재할 수 있다. 다음에, 웨이퍼 보트가 처리 용기의 하방으로부터 그 내부로 로드되는 동시에, 처리 용기가 기밀하게 폐쇄된다. 다음에, 처리 가스의 유량, 처리 압력, 처리 온도 등의 각종 처리 조건이 제어된 상태로, 소정의 열처리가 행해진다.
반도체 집적 회로의 특성을 향상시키기 위해, 반도체 디바이스의 절연막의 특성을 향상시키는 것이 중요하다. 반도체 디바이스 중의 절연막으로서, SiO2, PSG(Phospho Silicate Glass), P(플라즈마 CVD로 형성됨) -SiO, P(플라즈마 CVD로 형성됨) -SiN, SOG(Spin On Glass), Si3N4(실리콘 질화막) 등이 사용된다. 특히, 실리콘 질화막은 절연 특성이 실리콘 산화막보다 비교적 양호한 것 및 에칭 스토퍼막이나 층간 절연막으로서도 충분히 기능하므로 다용되는 경향이 있다.
반도체 웨이퍼의 표면에 상술한 바와 같은 실리콘 질화막을 형성하는 방법으로서, 실리콘 소스 가스로서 모노실란(SiH4), 디클로로실란(DCS : SiH2Cl2), 헥사클로로디실란(HCD : Si2Cl6), 비스터셜부틸아미노실란{BTBAS : SiH2[NH(C4H9)]}2, (t-C4H9NH)2SiH2 등의 실리콘 함유 가스를 사용하여, 열CVD(Chemical Vapor Deposition)에 의해 성막하는 방법이 알려져 있다. 예를 들어, BTBAS + NH3(US 5,874,368 A 참조) 혹은 Si2Cl6 + NH3 등의 가스의 조합으로 열CVD에 의해 실리콘 질화막을 형 성한다.
최근, 반도체 집적 회로의 가일층의 고집적화 및 고미세화의 요구에 수반하여, 반도체 디바이스의 제조 공정에 있어서의 열이력을 경감하여, 디바이스의 특성을 향상시키는 것이 요구되고 있다. 종형의 처리 장치에 있어서도, 이러한 요구에 따른 반도체 처리 방법의 개량이 이루어지는 것이 요구되고 있다. 예를 들어, 성막 처리의 일종인 CVD(Chemical Vapor Deposition)에 있어서, 원료 가스 등을 간헐적으로 공급하면서, 원자 혹은 분자 레벨의 두께의 층을, 1층 혹은 몇층씩 반복해서 성막하는 방법이 있다(예를 들어, 일본 특허 출원 공개 평2-93071호, 일본 특허 출원 공개 평6-45256호 공보, US 6,165,916 A 참조). 이와 같은 성막 방법은 일반적으로는 ALD(Atomic Layer Deposition) 혹은 MLD(Molecular Layer Deposition)라고 불리고, 이에 의해, 웨이퍼를 그렇게 고온에 노출시키지 않아도 목적으로 하는 처리를 행하는 것이 가능해진다.
예를 들어, 실리콘 함유 가스인 디클로로실란(DCS)과 질화 가스인 NH3을 사용하여 실리콘 질화막(SiN)을 형성하는 경우, 이하와 같은 처리가 행해진다. 즉, 처리 용기 내에, DCS와 NH3 가스가 퍼지 기간을 끼워서 교대로 간헐적으로 공급된다. NH3 가스를 공급할 때에 RF(고주파)가 인가됨으로써, 처리 용기 내에 플라즈마가 생성되어 질화 반응이 촉진된다. 여기서, 우선, DCS가 처리 용기 내로 공급됨으로써, 웨이퍼 표면 상에 DCS가 분자 레벨로 1층 혹은 복수층 흡착된다. 여분의 DCS는 퍼지 기간 중에 배제된다. 다음에, NH3가 공급되어 플라즈마가 생성됨으로 써, 저온에서의 질화에 의해 실리콘 질화막이 형성된다. 이와 같은 일련의 공정이 반복해서 행해져, 소정의 두께의 막이 완성된다.
최근, 성막 레이트나 막 중의 실리콘 농도를 올릴 필요로부터, 원료 가스의 공급 라인에 일정 용량의 버퍼 탱크를 설치한 장치가 알려져 있다(일본 특허 출원 공개 제2004-006801호). 버퍼 탱크에 다량의 원료 가스를 일시적으로 충전하고, 다음에 이 버퍼 탱크 내의 원료 가스를 방출하여 처리 용기에 간헐적으로 원료 가스를 공급한다.
본 발명은 성막 레이트 및 막질을 향상 가능한 종형 뱃치 CVD 장치에 있어서의 성막 방법 및 이것을 행하기 위한 장치 및 컴퓨터로 판독 가능한 매체를 제공하는 것을 목적으로 한다.
본 발명의 제1 시점은, 종형 뱃치 CVD 장치에 있어서, 간격을 두고 적층된 복수의 피처리 기판을 수납하고 또한 원료 가스와 반응 가스를 선택적으로 공급 가능한 처리 용기의 처리 영역 내에서, 상기 원료 가스 및 상기 반응 가스의 반응에 의해 반응 생성막을 상기 피처리 기판 상에 형성하는 성막 방법이며, 상기 성막 방법은 사이클을 복수회 반복하여, 각 회마다 형성되는 박막을 적층하도록 구성되고, 상기 사이클은 상기 처리 영역에 대한 상기 원료 가스의 공급을 행하여, 상기 원료 가스를 상기 피처리 기판의 표면에 흡착시키는 흡착 공정과, 상기 처리 영역에 대한 상기 반응 가스의 공급을 행하여, 상기 피처리 기판의 표면에 흡착되어 있는 상기 원료 가스와 반응시키는 반응 공정을 교대로 구비하고, 상기 흡착 공정은 상기 처리 영역에 대한 상기 반응 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 원료 가스의 공급을 행하는 공급 서브 공정을, 상기 처리 영역에 대한 상기 원료 가스의 공급을 정지하는 개재 서브 공정을 사이에 넣어 복수회 행하도록 구성되고, 상기 반응 공정은 상기 처리 영역에 대한 상기 원료 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 반응 가스의 공급을 연속적으로 행하 도록 구성된다.
본 발명의 제2 시점은, 종형 뱃치 CVD 장치이며, 간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와, 상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와, 상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와, 상기 처리 영역 내를 배기하는 배기계와, 상기 처리 영역에 원료 가스를 공급하는 원료 가스 공급계와, 상기 처리 영역에 반응 가스를 공급하는 반응 가스 공급계와, 상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 상기 처리 영역 내에서, 상기 원료 가스 및 상기 반응 가스의 반응에 의해 반응 생성막을 상기 피처리 기판 상에 형성하는 성막 방법을 실행하도록 예비 설정되고, 상기 성막 방법은 사이클을 복수회 반복하여, 각 회마다 형성되는 박막을 적층하도록 구성되고, 상기 사이클은 상기 처리 영역에 대한 상기 원료 가스의 공급을 행하여, 상기 원료 가스를 상기 피처리 기판의 표면에 흡착시키는 흡착 공정과, 상기 처리 영역에 대한 상기 반응 가스의 공급을 행하여, 상기 피처리 기판의 표면에 흡착되어 있는 상기 원료 가스와 반응시키는 반응 공정을 교대로 구비하고,
상기 흡착 공정은 상기 처리 영역에 대한 상기 반응 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 원료 가스의 공급을 행하는 공급 서브 공정을, 상기 처리 영역에 대한 상기 원료 가스의 공급을 정지하는 개재 서브 공정을 사이에 넣어 복수회 행하도록 구성되고, 상기 반응 공정은 상기 처리 영역에 대한 상기 원료 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 반응 가스의 공급을 연속적으로 행하도록 구성된다.
본 발명의 제3 시점은, 종형 뱃치 CVD 장치에 있어서, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며, 상기 장치는 간격을 두고 적층된 복수의 피처리 기판을 수납하고 또한 원료 가스와 반응 가스를 선택적으로 공급 가능한 처리 용기의 처리 영역을 포함하고, 여기서, 상기 프로그램 지령은 상기 프로세서에 의해 실행될 때, 상기 장치에 의해 상기 제1 시점의 성막 방법을 실행한다.
본 발명의 추가 목적 및 이점들은 다음의 상세한 설명에 개시될 것이며, 일부는 상세한 설명으로부터 명백할 것이고 또는 본 발명의 실시에 의해 학습될 수도 있다. 본 발명의 목적 및 이점들은 특별히 이후에 개시되는 수단들 및 조합들에 의해 인식되고 얻어질 수도 있다.
본 명세서에 합체되고 일부로 구성되는 첨부 도면들은 본 발명의 실시예들을 나타내고 있고, 상기한 일반적인 설명과 함께 하기되는 실시예들의 상세한 설명은 본 발명의 원리들을 설명하는 것으로 제공된다.
본 발명에 따르면, 성막 레이트 및 막질을 향상 가능한 종형 뱃치 CVD 장치에 있어서의 성막 방법 및 이것을 행하기 위한 장치 및 컴퓨터로 판독 가능한 매체를 제공할 수 있다.
본 발명자들은 본 발명의 개발의 과정에서, 반도체 처리에 있어서 실리콘 질화막 등의 성막 방법에 관한 종래 기술의 문제점에 대해 연구하였다. 그 결과, 본 발명자들은 이하에 서술하는 바와 같은 지식을 얻었다.
즉, 이러한 종류의 박막을 형성할 때에, 성막 레이트를 크게 하거나, 혹은 막 중의 실리콘 농도를 컨트롤하여, 예를 들어 높게 하는 것이 요구되는 경우가 있다. 이 경우, 상술한 바와 같이 원료 가스인 실리콘 함유 가스의 가스 통로에 버퍼 탱크를 설치하여, 이 버퍼 탱크에 대한 원료 가스의 충전과 방출을 반복해서 행함으로써, 처리 용기 내에 다량의 가스를 간헐적으로 공급시킬 수 있다.
그러나, 실제의 성막 장치에서는 장치 전체의 치수에 관계되어 설치할 수 있는 버퍼 탱크의 용량(체적)이 한정되므로, 무제한으로 큰 용량의 버퍼 탱크를 설치할 수 없다. 이 대책으로서, 버퍼 탱크 내로 원료 가스를 일시적으로 충전할 때의 탱크 내의 압력을 높게 설정하는 것도 생각할 수 있다. 그러나, 안전 대책상, 버퍼 탱크 내로는 미리 정해진 압력 이상으로 원료 가스를 충전하는 것은 피해야만 한다. 또한, 높은 압력의 가스를 한번에 다량으로 흐르게 하면, 미반응의 원료 가스 등도 배기 가스와 함께 한번에 다량으로 배기되게 된다. 이 결과, 배기 가스 중의 유해 성분을 제거하기 위해 배기계에 설치한 제해 유닛의 처리 능력을 넘어 버려, 유해한 가스 성분이 시스템 밖으로 배출될 우려가 있다.
따라서, 원료 가스를 간헐적으로 공급할 때에, 안전성을 유지하면서 다량의 원료 가스를 처리 용기 내로 공급하는 것이 바람직하다. 또한, 이것과 함께, 성막 레이트를 향상시킬 수 있을 뿐만 아니라, 막 중에 있어서의 원료 가스에 유래하는 원소의 농도를 컨트롤하여, 예를 들어 높게 할 수 있는 것이 바람직하다.
이하에, 이와 같은 지식에 기초하여 구성된 본 발명의 실시 형태에 대해 도 면을 참조하여 설명한다. 또한, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는, 동일한 부호를 부여하여, 중복 설명은 필요한 경우에만 행한다.
도 1은 본 발명의 실시 형태에 관한 성막 장치(종형 뱃치 CVD 장치)를 도시하는 단면도이다. 도 2는 도 1에 도시한 장치의 일부를 도시하는 횡단 평면도이다. 이 성막 장치(2)는 실리콘 함유 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 선택적으로 공급 가능한 처리 영역을 구비한다. 성막 장치(2)는 이와 같은 처리 영역 내에서 피처리 기판 상에 실리콘 질화막을 형성하도록 구성된다.
성막 장치(2)는 간격을 두고 적층된 복수의 반도체 웨이퍼(피처리 기판)를 수납하여 처리하는 처리 영역(5)을 내부에 규정하는, 하단부가 개방된 천장이 있는 원통체 형상의 처리 용기(4)를 갖는다. 처리 용기(4)의 전체는, 예를 들어 석영에 의해 형성된다. 처리 용기(4) 내의 천장에는 석영제의 천장판(6)이 배치되어 밀봉된다. 처리 용기(4)의 하단부 개구에는 원통체 형상으로 성형된 매니폴드(8)가 O링 등의 시일 부재(10)를 통해 연결된다. 또한, 매니폴드(8)를 별도로 설치하지 않고, 전체를 원통체 형상의 석영제의 처리 용기로 구성할 수도 있다.
매니폴드(8)는, 예를 들어 스테인리스 스틸로 이루어져, 처리 용기(4)의 하단부를 지지한다. 매니폴드(8)의 하단부 개구를 통해, 석영제의 웨이퍼 보트(12)가 승강되고, 이에 의해, 처리 용기(4)에 대해 웨이퍼 보트(12)가 로드/언로드된 다. 웨이퍼 보트(12)에는 피처리 기판으로서, 다수매의 반도체 웨이퍼(W)가 다단으로 적재된다. 예를 들어, 본 실시 형태의 경우에 있어서, 웨이퍼 보트(12)의 지주(12A)에는, 예를 들어 50 내지 100매 정도의 직경이 300㎜인 웨이퍼(W)가 대략 등피치로 다단으로 지지 가능해진다.
웨이퍼 보트(12)는 석영제의 보온통(14)을 통해 테이블(16) 상에 적재된다. 테이블(16)은 매니폴드(8)의 하단부 개구를 개폐하는, 예를 들어 스테인리스 스틸제의 덮개(18)를 관통하는 회전축(20) 상에 지지된다. 회전축(20)의 관통부에는, 예를 들어 자성 유체 시일(22)이 배치되어, 회전축(20)을 기밀하게 시일하면서 회전 가능하게 지지한다. 덮개(18)의 주변부와 매니폴드(8)의 하단부에는, 예를 들어 O링 등으로 이루어지는 시일 부재(24)가 배치되어, 용기 내의 시일성을 유지한다.
회전축(20)은, 예를 들어 보트 엘리베이터 등의 승강 기구(25)에 지지된 아암(26)의 선단부에 설치된다. 승강 기구(25)에 의해, 웨이퍼 보트(12) 및 덮개(18) 등이 일체적으로 승강된다. 또한, 아암(26) 내의 회전 기구에 의해 회전축(20)이 구동되면 웨이퍼 보트(12)가 회전되고, 이에 의해 웨이퍼 보트(12) 상의 웨이퍼가 그들의 중심을 지나는 수직인 축을 중심으로 하여 회전된다. 또한, 테이블(16)을 덮개(18)측으로 고정하여 설치하고, 웨이퍼 보트(12)를 회전시키는 일 없이 웨이퍼(W)의 처리를 행하도록 해도 좋다.
매니폴드(8)의 측부에는 처리 용기(4) 내의 처리 영역(5)에 소정의 처리 가스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는 제2 처리 가스 공급 계(28), 제1 처리 가스 공급계(30) 및 퍼지 가스 공급계(36)를 포함한다. 제1 처리 가스 공급계(30)는 실리콘 함유 가스로서 DCS(디클로로실란) 가스를 포함하는 제1 처리 가스를 공급한다. 제2 처리 가스 공급계(28)는 질화 가스로서 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 공급한다. 퍼지 가스 공급계(36)는 퍼지 가스로서 불활성 가스, 예를 들어 N2 가스를 공급한다. 제1 및 제2 처리 가스에는 필요에 따라서 적당한 양의 캐리어 가스가 혼합되지만, 이하에서는 설명을 쉽게 하기 위해, 캐리어 가스에 대해서는 필요한 경우에만 언급한다.
구체적으로는, 제2 및 제1 처리 가스 공급계(28, 30)는 매니폴드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 분산 노즐(38, 40)을 각각 갖는다(도 1 참조). 각 가스 분산 노즐(38, 40)에는 그 길이 방향(상하 방향)을 따라서 또한 웨이퍼 보트(12) 상의 웨이퍼(W)의 전체에 걸치도록 복수의 가스 분사 구멍(38A, 40A)이 소정의 간격을 두고 형성된다. 가스 분사 구멍(38A, 40A)은 필요에 따라서, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록, 수평 방향으로 대략 균일하게, 대응의 처리 가스를 각각 공급한다. 이와 같은 기능이, 노즐(38, 40)이 가스 분산 노즐이라고 불리는 이유이다. 한편, 퍼지 가스 공급계(36)는 매니폴드(8)의 측벽을 관통하여 설치한 짧은 가스 노즐(46)을 갖는다.
노즐(38, 40, 46)은 가스 공급 라인(가스 통로)(48, 50, 56)을 통해, NH3 가스, DCS 가스 및 N2 가스의 가스원(28S, 30S, 36S)에 각각 접속된다. 또한, 노 즐(38, 40)은 가스 공급 라인(54, 55)을 통해 어시스트 가스로서 사용되는(캐리어 가스 혹은 퍼지 가스로서 기능함) 불활성 가스, 예를 들어 N2 가스의 가스원(54S)에 각각 접속된다. 가스 공급 라인(48, 50, 54, 55, 56) 상에는 매스 플로우 컨트롤러와 같은 유량 제어기(48A, 50A, 54A, 55A, 56A)와 개폐 밸브(48B, 50B, 54B, 55B, 56B)가 배치된다. 이에 의해, NH3 가스, DCS 가스 및 N2 가스가 각각 유량 제어하면서 공급 가능해진다. 또한, 어시스트 가스의 가스 공급 라인(54, 55)은 개폐 밸브(48B, 50B)보다도 하류의 위치에서 NH3 가스 및 DCS 가스의 가스 공급 라인(48, 50)에 각각 접속된다.
또한, 상기 DCS 가스의 가스 공급 라인(50)의 도중이며, 유량 제어기(50A)와 개폐 밸브(50B) 사이에는 충전되는 DCS 가스(원료 가스)를 일시적으로 저류하는 버퍼 탱크(57)가 배치된다. 버퍼 탱크(57)의 하류측에 개폐 밸브(50B), 상류측에 개폐 밸브(57B)가 배치된다. 따라서, 상류측의 개폐 밸브(57B)를 개방함으로써 DCS 가스를 버퍼 탱크(57) 내로 충전할 수 있다. 또한, 하류측의 개폐 밸브(50B)를 개방함으로써 버퍼 탱크(57) 내에 충전되어 있는 DCS 가스를 하류측으로 방출할 수 있다. 버퍼 탱크(57)의 용량(체적)은 장치 전체의 크기에도 의하지만, 예를 들어 1 내지 2리터 정도이다.
처리 용기(4)의 측벽의 일부에는 그 높이 방향을 따라서 가스 여기부(66)가 배치된다. 가스 여기부(66)에 대향하는 처리 용기(4)의 반대측에는 이 내부 분위기를 진공 배기하기 위해, 처리 용기(4)의 측벽을, 예를 들어 상하 방향으로 깎아 냄으로써 형성한 가늘고 긴 배기구(68)가 배치된다.
구체적으로는, 가스 여기부(66)는 처리 용기(4)의 측벽을 상하 방향을 따라서 소정의 폭으로 깎아냄으로써 형성한 상하로 가늘고 긴 개구(70)를 갖는다. 개구(70)는 처리 용기(4)의 외벽에 기밀하게 용접 접합된 석영제의 커버(플라즈마 생성 박스)(72)에 의해 덮인다. 커버(72)는 처리 용기(4)의 외측으로 돌출되도록 단면 오목부 형상을 이루고, 또한 상하로 가늘고 긴 형상을 갖는다.
이 구성에 의해, 처리 용기(4)의 측벽으로부터 돌출되고 또한 한쪽이 처리 용기(4) 내로 개방되는 가스 여기부(66)가 형성된다. 즉, 가스 여기부(66)의 내부 공간은 처리 용기(4) 내의 처리 영역(5)에 연통한다. 개구(70)는 웨이퍼 보트(12)에 유지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성된다. 또한, 이 개구(70)에 다수의 슬릿을 갖는 슬릿판을 설치하는 경우도 있다.
커버(72)의 양 측벽의 외측면에는 그 길이 방향(상하 방향)을 따라서 서로 대향하도록 하여 가늘고 긴 한 쌍의 전극(74)이 배치된다. 전극(74)에는 플라즈마 발생용 고주파 전원(76)이 급전 라인(78)을 통해 접속된다. 전극(74)에, 예를 들어 13.56㎒의 고주파 전압을 인가함으로써, 한 쌍의 전극(74) 사이에 플라즈마를 여기하기 위한 고주파 전계가 형성된다. 또한, 고주파 전압의 주파수는 13.56㎒로 한정되지 않고, 다른 주파수, 예를 들어 400㎑ 등을 사용해도 된다.
제2 처리 가스의 가스 분산 노즐(38)은 웨이퍼 보트(12) 상의 최하 레벨의 웨이퍼(W)보다도 아래의 위치에서, 처리 용기(4)의 반경 방향 외측으로 굴곡된다. 그 후, 가스 분산 노즐(38)은 가스 여기부(66) 내의 가장 안측[처리 용기(4)의 중심으로부터 가장 떨어진 부분]의 위치에서 수직으로 기립한다. 가스 분산 노즐(38)은, 도 2에도 도시한 바와 같이, 한 쌍의 대향하는 전극(74)에 끼워진 영역(고주파 전계가 가장 강한 위치), 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)보다도 외측으로 이격된 위치에 설치된다. 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터 분사된 NH3 가스를 포함하는 제2 처리 가스는 플라즈마 발생 영역(PS)을 향해 분사되어, 여기서 선택적으로 여기(분해 혹은 활성화)되고, 그 상태로 웨이퍼 보트(12) 상의 웨이퍼(W)에 공급된다.
커버(72)의 외측에는 이것을 덮도록 하여, 예를 들어 석영으로 이루어지는 절연 보호 커버(80)가 설치된다. 절연 보호 커버(80)의 내측이며 전극(74)과 대향하는 부분에는 냉매 통로로 이루어지는 냉각 기구(도시하지 않음)가 배치된다. 냉매 통로에, 냉매로서, 예를 들어 냉각된 질소 가스를 흐르게 함으로써 전극(74)이 냉각된다. 또한, 절연 보호 커버(80)의 외측에는 이것을 덮어 고주파의 누설을 방지하기 위해 실드(도시하지 않음)가 배치된다.
가스 여기부(66)의 개구(70)의 외측 근방, 즉 개구(70)의 외측[처리 용기(4) 내]의 한쪽에 제1 처리 가스의 가스 분산 노즐(40)이 수직으로 기립되어 배치된다. 가스 분산 노즐(40)에 형성된 가스 분사 구멍(40A)으로부터 처리 용기(4)의 중심 방향을 향해 DCS 가스를 포함하는 제1 처리 가스가 분사된다.
한편, 가스 여기부(66)에 대향시켜 형성한 배기구(68)에는 이것을 덮도록 하 여 석영으로 이루어지는 단면 ㄷ자 형상으로 성형된 배기구 커버 부재(82)가 용접에 의해 설치된다. 배기 커버 부재(82)는 처리 용기(4)의 측벽을 따라서 상방으로 연장되고, 처리 용기(4)의 상방에 가스 출구(84)가 형성된다. 가스 출구(84)에는 진공 펌프 등을 배치한 진공 배기계(86)가 접속된다. 진공 배기계(86)는 가스 출구(84)에 연결된 배기 통로(88)를 갖고, 그 상류측으로부터 차례로 처리 용기(4) 내의 압력을 조정하는 압력 조정 밸브(90), 진공 펌프(92), 불필요 물질을 제거하는 제해 유닛(93)이 배치된다. 진공 배기계(86)에 의해, 처리 용기(4) 내를 소정의 압력으로 유지하면서 진공화할 수 있다. 제해 유닛(93)으로서는, 배기 가스 중의 제거해야 할 가스 성분에 대응시켜 건식, 연소식 혹은 습식 중 어느 제해 유닛을 사용해도 좋다.
처리 용기(4)를 포위하도록, 처리 용기(4) 내의 분위기 및 웨이퍼(W)를 가열하는 히터(94)가 배치된다. 처리 용기(4) 내의 배기구(68)의 근방에는 히터(94)를 제어하기 위한 열전대(도시하지 않음)가 배치된다.
또한, 성막 장치(2)는 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주제어부(96)를 구비한다. 주제어부(96)는 이것에 부수되는 기억부(98)에 미리 기억된 처리 레시피에 따라서, 예를 들어 형성되는 막의 막 두께나 조성 등의 조건에 따라서 후술하는 성막 처리를 행한다. 이 기억부(98)에는 또한, 처리 가스 유량과 막의 막 두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주제어부(96)는 이들의 기억된 처리 레시피나 제어 데이터에 기초하여, 승강 기구(25), 가스 공급계(28, 30, 36)[버퍼 탱크(57)로의 DCS 가스의 공급이나 가스 원(54S)으로부터의 N2 가스의 공급을 포함함], 배기계(86), 가스 여기부(66), 히터(94) 등을 제어할 수 있다. 또한, 기억 매체는, 예를 들어 자기 디스크{플렉시블 디스크, 하드 디스크[일례는 기억부(98)에 포함되는 하드 디스크] 등}, 광디스크(CD, DVD 등), 마그네트 옵티컬 디스크(MO 등), 반도체 메모리 등이다.
다음에, 도 1에 도시하는 장치를 사용하여 행해지는 성막 방법(소위, ALD 혹은 MLD 성막)에 대해 설명한다. 이 성막 방법에서는, ALD 혹은 MLD에 의해 반도체 웨이퍼(W) 상에 실리콘 질화막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 실리콘 함유 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 선택적으로 공급한다. 이에 의해, DCS 가스를 웨이퍼(W)의 표면에 흡착시키는 흡착 공정과, 암모니아 가스를 웨이퍼(W)의 표면에 흡착되어 있는 DCS 가스와 반응시켜 실리콘 질화물의 박막을 형성하는 반응 공정을 교대로 복수회 반복해서 행한다. 구체적으로는, 이하의 조작에 의해 성막 처리를 진행시킨다.
<성막 처리>
우선, 다수매, 예를 들어 50 내지 100매의 300㎜ 사이즈의 웨이퍼(W)를 유지한 상온의 웨이퍼 보트(12)를, 소정의 온도로 설정된 처리 용기(4) 내에 로드하여, 처리 용기(4)를 밀폐한다. 다음에, 처리 용기(4) 내를 진공화하여 소정의 처리 압력으로 유지하는 동시에, 웨이퍼 온도를 상승시켜 성막용 처리 온도로 안정될 때까지 대기한다. 다음에, 웨이퍼 보트(12)를 회전시키면서 DCS 가스 및 암모니아 가 스를 각각 유량 제어하면서 가스 분산 노즐(40, 38)로부터 간헐적으로 공급한다. 또한, 가스 분산 노즐(40, 38)로부터의 어시스트 가스(N2 가스)의 공급은 연속적으로 행한다.
DCS 가스를 포함하는 제1 처리 가스는 가스 분산 노즐(40)의 가스 분사 구멍(40A)으로부터, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 공급된다. 이 사이에, DCS 가스는 처리 영역(5)의 가열 온도에 의해 활성화되어, DCS 가스의 분자 혹은, 그들의 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼 상에 흡착된다.
한편, NH3 가스를 포함하는 제2 처리 가스는 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터, 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 공급된다. 제2 처리 가스가 공급될 때, 후술하는 바와 같이, 가스 여기부(66)는 온 상태로 설정된다.
가스 여기부(66)가 온 상태로 설정되면, 제2 처리 가스는 한 쌍의 전극(74) 사이의 플라즈마 발생 영역(PS)을 통과할 때에 여기되어 일부가 플라즈마화된다. 이때, 예를 들어, N*, NH*, NH2 *, NH3 * 등의 라디칼(활성종)이 생성된다(기호 「*」는 라디칼인 것을 나타냄). 이들 라디칼은 가스 여기부(66)의 개구(70)로부터 처리 용기(4)의 중심을 향해 유출되어, 웨이퍼(W) 상호간에 층류 상태로 공급된다.
상기 라디칼은 웨이퍼(W)의 표면에 부착되어 있는 DCS 가스의 분자 등과 반응하고, 이에 의해 웨이퍼(W) 상에 박막이 형성된다. 또한, 이것과는 반대로, 웨 이퍼(W)의 표면에 NH3 가스에 유래하는 라디칼, 분해 생성물의 분자 혹은 원자가 부착되어 있는 장소에 DCS 가스가 유입된 경우에도, 동일한 반응이 발생하여 웨이퍼(W) 상에 실리콘 질화막이 형성된다.
도 3은 본 발명의 실시 형태에 관한 성막 방법에 있어서의, 가스 공급, RF(고주파) 인가 및 버퍼 탱크로의 챠지의 형태를 도시하는 타이밍 차트이다. 도 3에 도시한 바와 같이, 본 실시 형태에 관한 성막 방법에서는, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 다수회 반복하여, 사이클마다 형성되는 실리콘 질화물의 박막을 적층함으로써, 최종적인 두께의 실리콘 질화막이 얻어진다.
구체적으로는, 제1 공정(T1)에서는 처리 영역(5)에 대한 DCS 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 암모니아 가스(도 3에서는 NH3으로 표시)의 공급의 차단을 유지한다. 환언하면, 제1 공정(T1)은 처리 영역(5)에 대한 DCS 가스의 공급을 행하여, DCS의 분자 등을 웨이퍼(W)의 표면에 흡착시키는 흡착 공정이다. 흡착 공정에서는 암모니아 가스의 공급의 차단을 유지하면서 처리 영역(5)에 대한 DCS 가스의 공급을 행하는 공급 서브 공정(T1a)을, 처리 영역(5)에 대한 DCS 가스의 공급을 정지하는 개재 서브 공정(T1b)을 사이에 넣어 복수회(도 3에서는 2회) 행한다.
제3 공정(T3)에서는 처리 영역(5)에 대한 암모니아 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 DCS 가스의 공급의 차단을 유지한다. 제3 공정(T3)에서 는 RF 전원(76)을 온 상태로 설정하여 가스 여기부(66)에서 암모니아 가스를 플라즈마화함으로써, 암모니아 가스를 여기한 상태로 처리 영역(5)에 공급한다. 환언하면, 제3 공정(T3)은 처리 영역(5)에 대한 암모니아 가스의 공급을 행하여, 암모니아(NH3)에 유래하는 라디칼을 웨이퍼(W)의 표면에 흡착되어 있는 DCS의 분자 등과 반응시키는 반응 공정이다. 반응 공정에서는 DCS 가스의 공급의 차단을 유지하면서, 처리 영역(5)에 대한 암모니아 가스의 공급을, 암모니아 가스를 플라즈마화하면서 연속적으로 행한다.
제2 공정(T2) 및 제4 공정(T4)에서는 처리 영역(5)에 대한 DCS 가스 및 암모니아 가스의 공급의 차단을 유지한다. 제2 및 제4 공정(T2, T4)은 처리 용기(4) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 여기서 퍼지라 함은, N2 가스 등의 불활성 가스를 흐르게 하면서 처리 용기(4) 내를 진공 배기하는 것, 혹은 모든 가스의 공급의 차단을 유지하여 처리 용기(4) 내를 진공 배기함으로써, 처리 용기(4) 내의 잔류 가스를 제거하는 것을 의미한다. 또한, 제2 및 제4 공정(T2, T4)의 전반은 진공 배기만을 행하고, 후반은 진공 배기와 불활성 가스 공급을 함께 행하도록 해도 좋다.
본 실시 형태에 있어서, 성막 처리 중, 배기계(86)는 진공 펌프(92)가 연속적으로 작동하도록 설정된다. 이에 의해, 제1 내지 제4 공정(T1 내지 T4)의 전체에 걸쳐서, 처리 용기(4) 내의 진공 배기가 계속된다. 또한, 제1 내지 제4 공정(T1 내지 T4)의 전체에 걸쳐서, 어시스트 가스인 N2 가스는 연속적으로 공급되어 각 가스의 흐름을 촉진시킨다. 이로 인해, 어시스트 가스는 공급 서브 공정(T1a) 및 제3 공정(T3)에서는 원료 가스나 반응 가스의 캐리어 가스로서 기능하고, 개재 서브 공정(T1b), 제2 공정(T2) 및 제4 공정(T4)에서는 반응에 의해 발생한 반응 부생성물이나 미반응의 가스 성분을 운반하여 처리 용기(4) 내로부터 배기계(86)를 통해 배출하도록 기능한다. 또한, 개재 서브 공정(T1b), 제2 공정(T2) 및 제4 공정(T4)에서는 어시스트 가스를 정지하고, 잔류 물질을 배기 가스와 함께 흐르게 하여 처리 용기(4) 내로부터 배기계(86)를 통해 배출하는 경우도 있다. 또한, 배기 가스 중에 포함되는 유해 성분은 제해 유닛(93)에서 제거된다.
도 3에 도시한 바와 같이, 제1 공정(흡착 공정)(T1)에 있어서 원료 가스인 DCS 가스를 복수회, 여기서는 2회 펄스 형상으로 공급한다. 이 각 펄스 공급은 버퍼 탱크(57)(도 1 참조) 내에 챠지 혹은 보급한 DCS 가스를 모두 처리 용기(4) 내로 방출함으로써 행한다.
버퍼 탱크(57) 내로의 DCS 가스의 충전(보급)의 개시와 종료는 버퍼 탱크(57)의 상류측에 설치한 개폐 밸브(57B)의 개폐에 의해 행한다. 본 실시 형태에 있어서, 제1 공정(흡착 공정)(T1)의 개재 서브 공정(T1b) 중과 제3 공정(반응 공정)(T3) 중에, 각각 버퍼 탱크(57)에 대한 DCS 가스의 챠지를 행한다[챠지 기간(T6)]. 처리 용기(4) 내로 DCS 가스를 공급할 때에는, 버퍼 탱크(57)의 하류측에 설치한 개폐 밸브(50B)를 개방함으로써, 압력차를 이용하여 버퍼 탱크(57) 내의 다량의 DCS 가스를 한번에 처리 용기(4) 내를 향해 방출할 수 있다.
또한, 처리 개시 시에는 미리 버퍼 탱크(57) 내로 DCS 가스를 소정량 챠지해 두고, 최초의 흡착 공정(T1)인 1회째의 공급 서브 공정(T1a)으로부터 처리 용기(4) 내로 다량의 DCS 가스를 공급할 수 있게 한다. 또한, 버퍼 탱크(57) 내로 DCS 가스를 챠지하는 경우에는, 안전을 고려하여 챠지 시간을 제한하여 버퍼 탱크(57) 내의 압력이 과도하게 승압되지 않도록 설정한다.
상술한 바와 같이, 1회의 흡착 공정(T1)에서 버퍼 탱크(57)로부터 복수회, 여기서는 2회 DCS 가스를 방출하여, 웨이퍼(W)의 표면에 DCS 가스를 흡착시킨다. 이로 인해, 안전성을 유지하면서 웨이퍼(W)의 표면에 다량의 DCS 가스를 흡착시켜 성막 레이트를 향상시킬 수 있다. 또한, 웨이퍼(W) 상에 형성되는 실리콘 질화막 중의 Si의 농도를 보다 정확하게 제어할 수 있고, 예를 들어 농도를 높게 할 수 있다. 또한, 시분할로 DCS 가스를 공급하므로, 제해 유닛(93)의 처리 능력이 작아도, 배기 가스 중의 유해 성분을 확실하게 제거할 수 있다. 이에 대해, 버퍼 탱크 내의 챠지 압력을 높게 하여 한번에 많은 양의 DCS 가스를 공급하면, 제해 유닛(93)의 처리 능력에 문제가 발생한다.
도 3에 있어서, 공급 서브 공정(T1a)은 약 3초, 개재 서브 공정(T1b)은 약 11초, 제2 공정(T2)은 약 11초, 제3 공정(T3)은 약 20초, 제4 공정(T4)은 약 3초로 설정된다. 버퍼 탱크(57)로의 1회의 챠지 기간(T6)은 DCS 가스의 유량이 2slm으로 약 8초로 설정된다. 제3 공정(T3)에 있어서의 NH3 가스의 유량은 5slm으로 설정된다. 어시스트 가스인 N2 가스의 유량은 0.2slm으로 설정된다. 제1 내지 제4 공정(T1 내지 T4)의 1사이클에 의해 형성되는 막 두께는 0.10 내지 0.13㎚ 정도이다. 따라서, 목표 막 두께가, 예를 들어 50㎚이면, 이 사이클을 450 내지 500 정도 반복하게 된다. 단, 이들의 시간이나 두께는 단순히 일례를 나타낸 것에 지나지 않고, 이 수치로 한정되지 않는다.
처리 온도는 450 내지 700℃의 범위 내, 예를 들어 약 630℃이다. 처리 압력은 0.133 내지 1330㎩의 범위 내에서 변화시켜, 흡착 공정(T1) 시에는 상기 압력 범위 내에서 압력이 높아지도록 설정된다.
또한, 본 실시 형태에서는, 버퍼 탱크(57)로의 DCS 가스의 챠지는 제1 공정(흡착 공정)(T1)의 개재 서브 공정(T1b) 중과 제3 공정(반응 공정)(T3) 중에 각각 행하고 있다. 그러나, 특히 1사이클 내에 있어서의 2회째의 챠지는 당해 사이클의 흡착 공정과 다음 사이클의 흡착 공정까지의 사이이면, 어디에서 행해도 좋다. 또한, 버퍼 탱크(57) 내의 상한 압력치 이내이면, 상류측의 개폐 밸브(57B)를 설치하지 않거나, 혹은 이 개폐 밸브(57B)를 항상 개방 상태로 하여 항상 DCS 가스를 버퍼 탱크(57)로 충전하고 있는 상태로 해도 좋다. 이 경우에는, DCS 가스를 버퍼 탱크(57)로 충전하고 있는 상태를 계속하면서 간헐적으로 처리 용기(4)를 향해 버퍼 탱크(57)의 개방이 행해지게 된다.
또한, 본 실시 형태에서는 1사이클 중의 흡착 공정(T1)에서 2회 펄스 형상으로 DCS 가스(원료 가스)를 처리 용기(4) 내로 공급한다. 대신에, 1회의 흡착 공정(T1)에서 3회 이상 펄스 형상으로 DCS 가스를 공급하도록 해도 좋다. 이 경우에도 DCS 가스의 각 펄스 형상의 공급에 앞서, 그때마다 버퍼 탱크(57) 내로의 DCS 가스의 챠지를 행하도록 한다.
또한, 성막 처리 중, 웨이퍼 보트(12)는 1분간에 수회 정도 회전되고, 따라서 웨이퍼도 그들의 중심을 지나는 수직인 축을 중심으로 하여 회전된다. 이 점에 관하여, 웨이퍼 보트(12)의 회전과 가스 분산 노즐(40)의 가스 분사 구멍(40A)으로부터의 DCS 가스의 분사 타이밍이 동기하지 않도록 하는 것이 바람직하다. 왜냐하면, 만약 동기하면, 웨이퍼 주위 방향의 동일 부분에 대해 항상 DCS 가스가 분사되게 되어 이 부분의 막 두께가 다른 부분보다도 두꺼워져, 막 두께의 면내 균일성을 열화시킬 우려가 있다.
따라서, 본 실시 형태에서는 웨이퍼 보트(12)의 회전과 가스 분사 구멍(40A)으로부터의 DCS 가스의 분사의 타이밍이 상관적으로 제어되어, 서로 동기하지 않도록 설정된다. 이에 의해, DCS 가스의 분사마다 반도체 웨이퍼(W)의 주위 방향에 있어서 다른 위치에 대해 공급이 행해지게 되어, 막 두께의 면내 균일성을 개선할 수 있다. 단, 1회의 흡착 공정(T1)에서 3회 이상 DCS 가스의 분사를 행하는 경우에는, 적어도 다른 2개소에 대해 공급을 분산함으로써, 어느 정도의 효과가 얻어진다.
웨이퍼의 회전 주기(1회전하는 시간)에 관하여, 이 값을 크게 하면(웨이퍼의 회전 속도를 느리게 하면), DCS 가스의 분사를 더욱 분산할 수 있다. 그러나, 웨이퍼의 회전 속도를 지나치게 낮게 하면, 다른 요인으로부터 웨이퍼(W) 상에 형성되는 막의 면내 균일성을 저하시킬 가능성이 있다. 한편, 웨이퍼의 회전 속도를 지나치게 높게 하면 웨이퍼(W) 상에 형성되는 막에 물리적인 악영향이 발생한다. 따라서, 웨이퍼가 1회전하는 시간(one-revolution time)(X)은 6초 내지 120초, 바 람직하게는 12초 내지 60초의 기본 범위에 있어서, 이하의 식에 따라서 설정된다.
또한, 이하의 식에 있어서, X는 웨이퍼가 1회전 하는 시간, L1은 공급 서브 공정(T1a)의 길이, L2는 개재 서브 공정(T1b)의 길이, N은 1개의 흡착 공정(T1) 내의 공급 서브 공정(T1a)의 횟수(DCS 펄스수)이다. 이 횟수(N)는, 실용적으로는 5 이하, 바람직하게는 3 이하의 양의 정수이다.
상기한 기본 범위 내에 있어서, 웨이퍼가 1회전하는 시간(X)은, 웨이퍼의 회전 속도를 비교적 느리게 하는 경우에는 다음의 식(1)로, 웨이퍼의 회전 속도를 비교적 빠르게 하는 경우에는 다음의 식(2)로 나타낼 수 있다.
[식 1]
X = (L1 + L2) × (1 + α)
여기서, α는 0.2 < α, 바람직하게는 0.5 < α, 보다 바람직하게는 0.8 < α를 만족시키도록 설정된다.
[식 2]
X = (L1 + L2) ÷ 2 × (1 + β)
여기서, β는 0.15 < β < 0.8, 바람직하게는 0.2 < β < 0.6, 보다 바람직하게는 0.25 < β < 0.4를 만족시키도록 설정된다.
이하에, 식(1), 식(2)에 따른 계산예를 나타낸다.
(A) 예를 들어, 상술한 바와 같이, L1 = 3초, L2 = 11초의 경우, 웨이퍼가 1회전하는 시간(X)은 다음과 같이 유도된다.
식(1)은 X = (3 + 11) × (1 + α) = 14 × (1 + α)초로 된다. 따라 서, X의 값은 16.8 < X, 바람직하게는 21 < X, 보다 바람직하게는 25.2 < X(단위는 초)로 된다.
식(2)는 X = (3 + 11) ÷ 2 × (1 + β) = 7 × (1 + β)초로 된다. 따라서, X의 값은 8.05 < X < 12.6, 바람직하게는 8.4 < X < 11.2, 보다 바람직하게는 8.75 < X < 9.8(단위는 초)로 된다.
그러나, 보다 바람직한 형태로서, 상기한 기본 범위 내에 있어서, 웨이퍼가 1회전하는 시간은 다음의 식(3)을 사용하여 산출할 수 있다.
[식 3]
X = (L1 + L2) × N × (1 + γ)
여기서, γ는 -0.3 < γ < +0.3, 바람직하게는 -0.2 < γ < +0.2, 보다 바람직하게는 -0.1 < γ < +0.1, 더욱 바람직하게는 -0.05 < γ < +0.05를 만족시키도록 설정된다.
이하에, 식(3)에 따른 계산예를 나타낸다.
(B) 예를 들어, 상술한 바와 같이, L1 = 3초, L2 = 11초, N = 2의 경우, 웨이퍼가 1회전하는 시간(X)은 다음과 같이 유도된다.
식(3)은 X = (3 + 11) × 2 × (1 + γ) = 28 × (1 + γ)초로 된다. 따라서, X의 값은 19.6 < X < 36.4, 바람직하게는 22.4 < X < 33.6, 보다 바람직하게는 25.2 < X < 30.8, 더욱 바람직하게는 26.6 < X < 29.4(단위는 초)로 된다.
(C) 또한, L1 = 2초, L2 = 8초, N = 3의 경우, 웨이퍼가 1회전하는 시 간(X)은 다음과 같이 유도된다.
식(3)은 X = (2 + 8) × 3 × (1 + γ) = 30 × (1 + γ)초로 된다. 따라서, X의 값은 21 < X < 39, 바람직하게는 24 < X < 36, 보다 바람직하게는 27 < X < 33, 더욱 바람직하게는 28.5 < X < 31.5(단위는 초)로 된다.
또한, 상기 실시 형태에서는 제1 처리 가스 공급계(30)의 가스 공급 라인(50)의 도중에 버퍼 탱크(57)를 설치하고, 이것에 일시적으로 DCS 가스(원료 가스)를 챠지한다. 그러나, 사용하는 원료 가스의 양이 적은 경우에는, 버퍼 탱크(57) 대신에, 가스 공급 라인(50) 자체에 버퍼 탱크의 기능을 갖게 해도 좋다. 이 경우에는, 개폐 밸브(50B, 57B)의 양쪽을 설치하도록 해도 좋고, 혹은 어느 한쪽의 개폐 밸브만을 설치하도록 해도 좋다.
이와 같은 구성의 경우에도, 앞서 설명한 실시 형태와 동일한 개폐 밸브(50B, 57B)의 개폐 조작을 행함으로써, 앞의 실시 형태와 동일한 작용 효과를 발휘할 수 있다.
<실험 1>
상기 실시 형태의 실시예(PE)에 관한 성막 방법 및 비교예(CE)에 관한 성막 방법을 사용하여 실리콘 질화막을 성막하여, 실리콘 질화막의 성막 레이트와 굴절률(Si 농도에 의존)을 측정하였다. 비교예(CE)에서는, 도 1에 도시하는 장치에 있어서, 1회의 흡착 공정에서는 DCS 가스를 1회밖에 공급하지 않는 공급 형태를 채용하였다. 도 4는 비교예에 관한 성막 방법에 있어서의, 가스 공급, RF(고주파) 인가 및 버퍼 탱크로의 챠지의 형태를 도시하는 타이밍 차트이다.
이 도 4에 도시하는 비교예(CE)에서는 흡착 공정에 있어서 DCS 가스의 처리 용기 내로의 공급은 1회만 행하였다. 따라서, 여기서는, 처리 용기 내로의 DCS 가스의 공급과 NH3 가스의 공급을 교대로 1회씩 반복하였다. DCS 가스의 공급은 버퍼 탱크 내에 충전한 DCS 가스를 하류측으로 개방함으로써 행하였다.
실시예(PE)에 관한 성막 방법에서는, 각 공정의 기간은, 전술한 바와 같이 T1a = 3초, T1b = 11초, T2 = 11초, T3 = 20초, T4 = 3초, T6(챠지) = 8초이다. 한편, 비교예(CE)에 관한 성막 방법에서는, 각 공정의 기간은 T11(흡착) = 3초, T12(퍼지) = 14초, T13(질화) = 20초, T14(퍼지) = 3초, T16(챠지) = 8초이다. 처리 압력 및 처리 온도는 각각 양자 동일하게 설정하고, 처리 압력은 0.133 내지 1330㎩의 범위 내에서 변화시키고 있고, 처리 온도는 630℃로 설정하였다. 웨이퍼 보트에는 117매의 반도체 웨이퍼(W)를 적재하였다.
도 5a, 도 5b는 실시예(PE)와 비교예(CE)에 의해 성막한 실리콘 질화박막의 성막 레이트와 굴절률을 나타내는 그래프이다. 도 5a에 있어서 종축은 성막 레이트(㎚/사이클)를 나타낸다. 도 5b에 있어서 종축은 굴절률을 나타낸다. 도 5a, 도 5b에 있어서, 웨이퍼 보트의 상단부의 웨이퍼의 평균치를 "TOP"로서 나타내고, 중단부의 웨이퍼의 평균치를 "CTR"로서 나타내고, 하단부의 웨이퍼의 평균치를 "BTM"으로서 나타낸다.
도 5a에 도시한 바와 같이, 실리콘 질화막의 1사이클당의 성막 레이트에 관해서는, 비교예(CE)의 성막 레이트는 0.079 내지 0.084㎚ 정도였다. 이에 대해, 실시예(PE)의 성막 레이트는 0.124 내지 0.128㎚ 정도이고, 실시예(PE)의 경우에는 비교예(CE)보다도 성막 레이트를 30 내지 60% 정도나 향상시킬 수 있는 것을 알 수 있었다.
도 5b에 도시한 바와 같이, 실리콘 질화막의 굴절률에 관해서는, 비교예(CE)의 굴절률은 2.018 내지 2.037 정도였다. 이에 대해, 실시예(PE)의 굴절률은 2.116 내지 2.166 정도이고, 실시예(PE)의 경우에는 비교예(CE)보다도 박막의 굴절률을 크게 할 수 있는 것을 알 수 있었다. 이 굴절률은 원료 가스(DCS 가스) 중의 원소 성분인 Si의 농도에 의존하고 있고, Si 농도가 높을수록 굴절률이 커지는 것이 알려져 있다. 따라서, 실시예(PE)의 경우에는, 1회의 흡착 공정에 있어서의 DCS 가스의 공급 횟수를 바꾸거나, 1회의 DCS 가스의 공급 시의 공급 서브 공정(T1a)의 길이를 바꿈으로써, 형성되는 막 중의 Si 농도를 자유롭게 컨트롤할 수 있는 것이 기대된다.
<실험 2>
버퍼 탱크(57) 내로 충전되는 DCS 가스의 안전성 및 처리 용기 내로 공급되는 DCS 가스의 안전성에 대해 검토하였다. 도 6은 버퍼 탱크 내로 공급되는 DCS 가스의 챠지 시간과 버퍼 탱크 내의 압력과의 관계를 나타내는 그래프이다. 도 6에 있어서 횡축은 챠지 시간(초), 종축은 버퍼 탱크 내의 압력(Torr)을 나타낸다. DCS 가스에 대해 안전성을 확보할 수 있는 버퍼 탱크(57) 내의 압력의 상한은 600Torr(79.98㎪)이다.
도 6에 도시한 바와 같이, 버퍼 탱크 내로의 DCS 가스의 챠지 시간이 증가할 수록 버퍼 탱크 내의 압력은 직선적으로 상승하고 있고, 압력의 상한치인 600Torr에 도달하는 챠지 시간은 15.5초 정도이다. 여기서 도 3의 (E)를 참조하면, 챠지 기간인 T6은 상기 15.5초보다도 적은 8초로, 버퍼 탱크(57)의 안전성은 충분히 확보되어 있는 것을 이해할 수 있다.
또한, 상술한 바와 같이 버퍼 탱크 내로 충전한 DCS 가스를 방출했을 때의 처리 용기(4)의 안전성에 대해 더불어 검토하였다. 도 7은 버퍼 탱크 내로 충전한 DCS 가스의 챠지 시간과 버퍼 탱크로부터 이 가스를 방출했을 때의 처리 용기 내의 압력과의 관계를 나타내는 그래프이다. 도 7에 있어서 횡축은 챠지 시간(초), 종축은 처리 용기 내의 압력(Torr)을 나타낸다. 처리 용기(4) 내에 있어서의 DCS 가스에 대한 안전성을 확보할 수 있는 압력의 상한치는 10Torr(1333㎩)이다.
도 7로부터 명백해진 바와 같이, 버퍼 탱크(57) 내로의 챠지 기간이, 도 6의 경우의 안전 시간의 상한인 15.5초에 도달해도, 처리 용기(4) 내의 압력은 상한치인 10Torr보다도 훨씬 낮은 6Torr(800㎩) 정도이고, 이 점으로부터도 안전성을 충분히 확보할 수 있는 것을 이해할 수 있다.
<변형예>
상기 실시 형태에서는, 반응 가스인 NH3 가스를 포함하는 제2 처리 가스를 가스 여기부(66)에 의해 활성화시켰지만, 이 가스 여기부(66)를 사용하지 않아도 좋다. 또한, 본 실시 형태에서는 가스 공급 라인(50)에 1개밖에 버퍼 탱크(57)가 배치되지 않지만, 가스 공급 라인(50)에 복수, 예를 들어 2개의 버퍼 탱크(57)를 직렬로 설치하여[개폐 밸브(50B, 57B)도 포함함], 이들의 버퍼 탱크(57)를 교대로 사용하도록 해도 좋다.
상기 실시 형태에서는, 박막으로서 실리콘 질화막을 성막하는 경우가 예시된다. 이것에 대신하여, 불순물, 예를 들어 붕소 등을 포함하는 실리콘 질화막 등이나, 실리콘 산화막이나 실리콘 산질화막을 성막하는 경우에도 본 발명을 적용할 수 있다.
상기 실시 형태에서는 제1 처리 가스 중의 실리콘 함유 가스로서 DCS 가스가 예시된다. 이 점에 관하여, 실리콘 함유 가스로서는, 디클로로실란(DCS), 헥사클로로디실란(HCD), 모노실란[SiH4], 디실란[Si2H6], 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디시릴아민(DSA), 트리시릴아민(TSA), 비스터셜부틸아미노실란(BTBAS), 비스디에틸아미노실란(BDEAS), 디이소프로필아미노실란(DIPAS), 트리스디메틸아미노실란(3DMAS)으로 이루어지는 군으로부터 선택되는 1이상의 가스를 사용할 수 있다.
원료 가스로서, 실리콘 함유 가스 대신에, 유기 금속 화합물 가스를 사용할 수 있다. 이 유기 금속 화합물 가스로서는, 트리메틸알루미늄(TMA), 테트라키스디메틸아미노하프늄(TDMAH), 테트라키스에틸메틸아미노하프늄(TEMAH), 테트라키스에틸메틸아미노지르코늄(TEMAZ), 테트라키스디메틸아미노티탄(TDMAT)으로 이루어지는 군으로부터 선택되는 1이상의 가스를 사용할 수 있다.
반응 가스로서, 질화 가스(NH3 가스) 대신에, 처리 형태에 따라서는, 산화 가스, 혹은 환원 가스를 사용할 수도 있다.
피처리 기판으로서는, 반도체 웨이퍼가 예시되지만, 이 반도체 웨이퍼에는 실리콘 기판이나 GaAs, SiC, GaN 등의 화합물 반도체 기판이 포함된다. 또한, 피처리 기판으로서, 액정 표시 장치에 사용하는 글래스 기판이나 세라믹 기판 등에도 본 발명을 적용할 수 있다.
도 1은 본 발명의 실시 형태에 관한 성막 장치(종형 뱃치 CVD 장치)를 도시하는 단면도.
도 2는 도 1에 도시한 장치의 일부를 도시하는 횡단 평면도.
도 3은 본 발명의 실시 형태에 관한 성막 방법에 있어서의, 가스 공급, RF(고주파) 인가 및 버퍼 탱크로의 챠지의 형태를 도시하는 타이밍 차트.
도 4는 비교예에 관한 성막 방법에 있어서의, 가스 공급, RF(고주파) 인가 및 버퍼 탱크로의 챠지의 형태를 도시하는 타이밍 차트이다.
도 5a는 본 발명에 관한 실시예와 비교예에 의해 성막한 박막의 성막 레이트를 나타내는 그래프.
도 5b는 본 발명에 관한 실시예와 비교예에 의해 성막한 박막의 굴절률을 나타내는 그래프.
도 6은 버퍼 탱크 내로 공급되는 DCS 가스의 챠지 시간과 버퍼 탱크 내의 압력의 관계를 나타내는 그래프.
도 7은 버퍼 탱크 내로 충전한 DCS 가스의 챠지 시간과 버퍼 탱크로부터 이 가스를 방출했을 때의 처리 용기 내의 압력과의 관계를 나타내는 그래프.
<도면의 주요 부분에 대한 부호의 설명>
2 : 성막 장치
4 : 처리 용기
5 : 처리 영역
6 : 천장판
10, 24 : 시일 부재
12 : 웨이퍼 보트
14 : 보온통
16 : 테이블
18 : 덮개
20 : 회전축
22 : 자성 유체 시일
25 : 승강 기구
26 : 아암
W : 웨이퍼

Claims (20)

  1. 종형 뱃치 CVD 장치에 있어서, 간격을 두고 적층된 복수의 피처리 기판을 수납하고 또한 원료 가스와 반응 가스를 선택적으로 공급 가능한 처리 용기의 처리 영역 내에서, 상기 원료 가스 및 상기 반응 가스의 반응에 의해 반응 생성막을 상기 피처리 기판 상에 형성하는 성막 방법이며, 상기 성막 방법은 사이클을 복수회 반복하여, 각 회마다 형성되는 박막을 적층하도록 구성되고, 상기 사이클은,
    상기 처리 영역에 대한 상기 원료 가스의 공급을 행하여, 상기 원료 가스를 상기 피처리 기판의 표면에 흡착시키는 흡착 공정과,
    상기 처리 영역에 대한 상기 반응 가스의 공급을 행하여, 상기 피처리 기판의 표면에 흡착되어 있는 상기 원료 가스와 반응시키는 반응 공정을 교대로 구비하고,
    상기 흡착 공정은 상기 처리 영역에 대한 상기 반응 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 원료 가스의 공급을 행하는 공급 서브 공정을, 상기 처리 영역에 대한 상기 원료 가스의 공급을 정지하는 개재 서브 공정을 사이에 넣어 복수회 행하도록 구성되고,
    상기 반응 공정은 상기 처리 영역에 대한 상기 원료 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 반응 가스의 공급을 연속적으로 행하도록 구성되는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  2. 제1항에 있어서, 상기 사이클은 상기 흡착 공정 및 상기 반응 공정 사이와 상기 반응 공정 후의 각각에, 상기 처리 영역에 대한 상기 원료 가스 및 상기 반응 가스의 공급을 행하지 않는 동시에, 상기 처리 영역을 배기하는 주개재 공정을 더 구비하는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  3. 제1항에 있어서, 상기 원료 가스는 상기 처리 영역을 따라서 배치되고 또한 복수의 가스 분사 구멍이 수직 방향으로 간격을 두고 형성된 가스 분산 노즐로부터 공급되는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  4. 제3항에 있어서, 상기 사이클에 있어서, 상기 피처리 기판은 어느 회전 속도로 상기 처리 용기 내에서 회전되고, 상기 회전 속도와 상기 공급 서브 공정의 타이밍은 상기 흡착 공정이 1회 행해지는 중에, 상기 피처리 기판의 주위 방향에 있어서 다른 위치에 대해 상기 원료 가스가 공급되도록 상관적으로 제어되는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  5. 제4항에 있어서, 상기 공급 서브 공정의 길이를 L1, 상기 개재 서브 공정의 길이를 L2로 하면, 상기 피처리 기판이 1회전하는 시간(X)은 6초 내지 120초의 범위에 있어서 다음의 식(1), 식(2) 중 어느 하나로 나타나고, 여기서, α는 0.2 < α를 만족시키고, β는 0.15 < β < 0.8을 만족시키는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
    [식 1]
    X = (L1 + L2) × (1 + α)
    [식 2]
    X = (L1 + L2) ÷ 2 × (1 + β)
  6. 제4항에 있어서, 상기 공급 서브 공정의 길이를 L1, 상기 개재 서브 공정의 길이를 L2, 상기 흡착 공정 내의 상기 공급 서브 공정의 횟수를 N(N은 5 이하의 양의 정수)으로 하면, 상기 피처리 기판이 1회전하는 시간(X)은 6초 내지 120초의 범위에 있어서 다음의 식(3)으로 나타나고, 여기서, γ는 -0.3 < γ < +0.3을 만족시키는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
    [식 3]
    X = (L1 + L2) × N × (1 + γ)
  7. 제1항에 있어서, 상기 방법은 상기 처리 용기 밖에 배치된 버퍼 탱크 내에 상기 원료 가스를 공급하여 일시적으로 모으고, 상기 공급 서브 공정 및 상기 개재 서브 공정에 맞추어 상기 처리 영역에 대해 상기 버퍼 탱크로부터 상기 원료 가스를 각각 방출 및 정지하도록 구성되는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  8. 제7항에 있어서, 상기 방법은 상기 공급 서브 공정에 있어서, 상기 버퍼 탱크에 대한 상기 원료 가스의 공급을 행하지 않고, 상기 개재 서브 공정에 있어서, 상기 버퍼 탱크에 대한 상기 원료 가스의 공급을 행하도록 구성되는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  9. 제7항에 있어서, 상기 버퍼 탱크보다도 하류이고 또한 상기 처리 용기의 외측의 위치에서, 상기 원료 가스는 불활성 가스와 혼합된 후, 상기 처리 영역에 공급되고, 상기 불활성 가스는 상기 사이클 중에 계속해서 공급되는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  10. 제1항에 있어서, 상기 반응 공정은 상기 반응 가스를 플라즈마 여기 기구에 의해 여기한 상태로 상기 처리 영역에 공급하는 여기 기간을 갖는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  11. 제1항에 있어서, 상기 원료 가스는 디클로로실란, 헥사클로로디실란, 모노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디시릴아민, 트리시릴아민, 비스터셜부틸아미노실란, 비스디에틸아미노실란, 디이소프로필아미노실란, 트리스디메틸아미노실란으로 이루어지는 군으로부터 선택되는 1이상의 실리콘 함유 가스를 포함하고, 상기 반응 가스는 질화 가스, 산화 가스, 환원 가스로 이루어지는 군으로부터 선택되는 1이상의 가스를 포함하는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  12. 제1항에 있어서, 상기 원료 가스는 트리메틸 알루미늄, 테트라키스디메틸아미노하프늄, 테트라키스에틸메틸아미노하프늄, 테트라키스에틸메틸아미노지르코늄, 테트라키스디메틸아미노티탄으로 이루어지는 군으로부터 선택되는 1이상의 유기 금속 화합물 가스를 포함하고, 상기 반응 가스는 질화 가스, 산화 가스, 환원 가스로 이루어지는 군으로부터 선택되는 1이상의 가스를 포함하는, 종형 뱃치 CVD 장치에 있어서의 성막 방법.
  13. 종형 뱃치 CVD 장치이며,
    간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
    상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
    상기 처리 영역 내를 배기하는 배기계와,
    상기 처리 영역에 원료 가스를 공급하는 원료 가스 공급계와,
    상기 처리 영역에 반응 가스를 공급하는 반응 가스 공급계와,
    상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 제어부는 상기 처리 영역 내에서, 상기 원료 가스 및 상기 반응 가스의 반응에 의해 반응 생성막을 상기 피처리 기판 상에 형성하는 성막 방법을 실행하도록 예비 설정되고, 상기 성막 방법은 사이클을 복수회 반복하여, 각 회마다 형성되는 박막을 적층하도록 구성되고, 상기 사이클은,
    상기 처리 영역에 대한 상기 원료 가스의 공급을 행하여, 상기 원료 가스를 상기 피처리 기판의 표면에 흡착시키는 흡착 공정과,
    상기 처리 영역에 대한 상기 반응 가스의 공급을 행하여, 상기 피처리 기판의 표면에 흡착되어 있는 상기 원료 가스와 반응시키는 반응 공정을 교대로 구비하고,
    상기 흡착 공정은 상기 처리 영역에 대한 상기 반응 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 원료 가스의 공급을 행하는 공급 서브 공정을, 상기 처리 영역에 대한 상기 원료 가스의 공급을 정지하는 개재 서브 공정을 사이에 넣어 복수회 행하도록 구성되고,
    상기 반응 공정은 상기 처리 영역에 대한 상기 원료 가스의 공급의 차단을 유지하면서, 상기 처리 영역에 대한 상기 반응 가스의 공급을 연속적으로 행하도록 구성되는, 종형 뱃치 CVD 장치.
  14. 제13항에 있어서, 상기 원료 가스 공급계는 상기 처리 영역을 따라서 배치되고 또한 복수의 가스 분사 구멍이 수직 방향으로 간격을 두고 형성된, 상기 원료 가스를 공급하는 가스 분산 노즐을 구비하는, 종형 뱃치 CVD 장치.
  15. 제14항에 있어서, 상기 장치는 상기 지지 부재와 함께 상기 피처리 기판을 상기 처리 용기 내에서 회전시키는 회전 기구를 구비하고, 상기 제어부는 상기 사이클에 있어서, 상기 피처리 기판을 상기 처리 용기 내에서 회전시키고, 상기 회전 속도와 상기 공급 서브 공정의 타이밍을, 상기 흡착 공정이 1회 행해지는 중에, 상기 피처리 기판의 주위 방향에 있어서 다른 위치에 대해 상기 원료 가스가 공급되도록 상관적으로 제어하도록 예비 설정되는, 종형 뱃치 CVD 장치.
  16. 제15항에 있어서, 상기 공급 서브 공정의 길이를 L1, 상기 개재 서브 공정의 길이를 L2로 하면, 상기 피처리 기판이 1회전하는 시간(X)은 6초 내지 120초의 범위에 있어서 다음의 식(1), 식(2) 중 어느 하나로 나타나고, 여기서, α는 0.2 < α를 만족시키고, β는 0.15 < β < 0.8을 만족시키는, 종형 뱃치 CVD 장치.
    [식 1]
    X = (L1 + L2) × (1 + α)
    [식 2]
    X = (L1 + L2) ÷ 2 × (1 + β)
  17. 제15항에 있어서, 상기 공급 서브 공정의 길이를 L1, 상기 개재 서브 공정의 길이를 L2, 상기 흡착 공정 내의 상기 공급 서브 공정의 횟수를 N(N은 5 이하의 양의 정수)으로 하면, 상기 피처리 기판이 1회전하는 시간(X)은 6초 내지 120초의 범위에 있어서 다음의 식(3)으로 나타나고, 여기서, γ는 -0.3 < γ < +0.3을 만족시키는, 종형 뱃치 CVD 장치.
    [식 3]
    X = (L1 + L2) × N × (1 + γ)
  18. 제13항에 있어서, 상기 원료 가스 공급계는 상기 원료 가스를 상기 처리 영역에 대해 공급하는 가스 공급 라인 상에 상기 처리 용기 밖에 배치된 버퍼 탱크와, 상기 처리 영역과 상기 버퍼 탱크 사이에서 상기 가스 공급 라인 상에 배치된 개폐 밸브를 구비하고,
    상기 제어부는 상기 버퍼 탱크 내에 상기 원료 가스를 공급하여 일시적으로 모으고, 상기 공급 서브 공정 및 상기 개재 서브 공정에 맞추어 상기 개폐 밸브를 각각 개방 및 폐쇄하는 제어를 행하도록 예비 설정되는, 종형 뱃치 CVD 장치.
  19. 제18항에 있어서, 상기 제어부는 상기 공급 서브 공정에 있어서, 상기 버퍼 탱크에 대한 상기 원료 가스의 공급을 행하지 않고, 상기 개재 서브 공정에 있어서, 상기 버퍼 탱크에 대한 상기 원료 가스의 공급을 행하는 제어를 행하도록 예비 설정되는, 종형 뱃치 CVD 장치.
  20. 종형 뱃치 CVD 장치에 있어서, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며, 상기 장치는 간격을 두고 적층된 복수의 피처리 기판을 수납하고 또한 원료 가스와 반응 가스를 선택적으로 공급 가능한 처리 용기의 처리 영역을 포함하고, 여기서, 상기 프로그램 지령은 상기 프로세서에 의해 실행될 때, 상기 장치에 의해 제1항에 기재된 성막 방법을 실행하는, 컴퓨터로 판독 가능한 매체.
KR1020090093078A 2008-10-04 2009-09-30 종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체 KR101287725B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008259142A JP5233562B2 (ja) 2008-10-04 2008-10-04 成膜方法及び成膜装置
JPJP-P-2008-259142 2008-10-04

Publications (2)

Publication Number Publication Date
KR20100038274A true KR20100038274A (ko) 2010-04-14
KR101287725B1 KR101287725B1 (ko) 2013-07-19

Family

ID=42215135

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090093078A KR101287725B1 (ko) 2008-10-04 2009-09-30 종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체

Country Status (5)

Country Link
US (1) US8257789B2 (ko)
JP (1) JP5233562B2 (ko)
KR (1) KR101287725B1 (ko)
CN (1) CN101713067B (ko)
TW (1) TWI461567B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101396601B1 (ko) * 2013-02-26 2014-05-20 주식회사 테라세미콘 배치식 기판처리 장치
KR101508948B1 (ko) * 2010-05-20 2015-04-07 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 그의 제어 장치 및 그의 제어 방법
KR20170100441A (ko) * 2016-02-25 2017-09-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
KR20200132757A (ko) * 2019-05-17 2020-11-25 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR20120140148A (ko) * 2011-06-20 2012-12-28 엘지이노텍 주식회사 증착 장치 및 박막 형성 방법
WO2013027549A1 (ja) 2011-08-25 2013-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP2013076113A (ja) * 2011-09-29 2013-04-25 Tokyo Electron Ltd ガス供給装置及び成膜装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5920242B2 (ja) 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6245643B2 (ja) * 2013-03-28 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6347544B2 (ja) 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
WO2016098183A1 (ja) 2014-12-16 2016-06-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP6616895B2 (ja) * 2016-06-07 2019-12-04 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法並びにプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6586433B2 (ja) * 2017-03-30 2019-10-02 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム
JP7039865B2 (ja) * 2017-05-26 2022-03-23 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
WO2019012797A1 (ja) 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN107611144B (zh) * 2017-09-19 2019-10-11 武汉华星光电技术有限公司 一种层间绝缘层的制备方法、层间绝缘层及液晶显示面板
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN110551987A (zh) * 2018-06-04 2019-12-10 至玥腾风科技投资集团有限公司 环形单晶无机非金属部件的制作方法、设备及飞轮
EP3599290A3 (en) * 2018-07-24 2020-06-03 Lg Electronics Inc. Chemical vapor deposition equipment for solar cell and deposition method thereof
JP7225599B2 (ja) * 2018-08-10 2023-02-21 東京エレクトロン株式会社 成膜装置
JP2019026939A (ja) * 2018-09-26 2019-02-21 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
JP2021075739A (ja) * 2019-11-05 2021-05-20 東京エレクトロン株式会社 基板を処理する装置、処理ガスを濃縮する装置、及び基板を処理する方法
CN116057677A (zh) * 2020-09-24 2023-05-02 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
JP7284139B2 (ja) * 2020-11-27 2023-05-30 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法
JP2022114918A (ja) * 2021-01-27 2022-08-08 東京エレクトロン株式会社 窒化ホウ素膜の成膜方法及び成膜装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
US6759081B2 (en) * 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP3947126B2 (ja) 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4931171B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101508948B1 (ko) * 2010-05-20 2015-04-07 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 그의 제어 장치 및 그의 제어 방법
KR101396601B1 (ko) * 2013-02-26 2014-05-20 주식회사 테라세미콘 배치식 기판처리 장치
KR20170100441A (ko) * 2016-02-25 2017-09-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템
KR20200132757A (ko) * 2019-05-17 2020-11-25 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Also Published As

Publication number Publication date
JP2010090413A (ja) 2010-04-22
TWI461567B (zh) 2014-11-21
JP5233562B2 (ja) 2013-07-10
US20100136260A1 (en) 2010-06-03
CN101713067A (zh) 2010-05-26
US8257789B2 (en) 2012-09-04
CN101713067B (zh) 2013-07-31
TW201022469A (en) 2010-06-16
KR101287725B1 (ko) 2013-07-19

Similar Documents

Publication Publication Date Title
KR101287725B1 (ko) 종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR101105130B1 (ko) 반도체 처리용 성막 방법 및 장치
KR101146397B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체
KR101242274B1 (ko) 반도체 처리용 성막 방법, 컴퓨터로 판독 가능한 매체 및 반도체 처리용 성막 장치
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
US9076649B2 (en) Film forming method and apparatus
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR100771800B1 (ko) 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법
US7351668B2 (en) Film formation method and apparatus for semiconductor process
KR101503725B1 (ko) 성막 방법 및 성막 장치
US20080063791A1 (en) Film formation method and apparatus for semiconductor process
US20080274302A1 (en) Film formation method and apparatus for semiconductor process
KR20080029846A (ko) 실리콘 산화막을 형성하기 위한 성막 방법 및 장치
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190627

Year of fee payment: 7