JP2006511946A - 高品質の低温窒化シリコン膜を形成するための方法及び装置 - Google Patents

高品質の低温窒化シリコン膜を形成するための方法及び装置 Download PDF

Info

Publication number
JP2006511946A
JP2006511946A JP2004563816A JP2004563816A JP2006511946A JP 2006511946 A JP2006511946 A JP 2006511946A JP 2004563816 A JP2004563816 A JP 2004563816A JP 2004563816 A JP2004563816 A JP 2004563816A JP 2006511946 A JP2006511946 A JP 2006511946A
Authority
JP
Japan
Prior art keywords
silicon nitride
silicon
nitride film
source gas
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004563816A
Other languages
English (en)
Inventor
シュリン ワン,
エロール, アントニオ,シー. サンチェス,
アイファ チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006511946A publication Critical patent/JP2006511946A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides

Abstract

窒化シリコン膜を形成する方法が記載される。本発明によれば、シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを低堆積温度(例えば、550℃未満)で熱分解して窒化シリコン膜を形成することにより窒化シリコン膜が堆積される。次に、熱堆積された窒化シリコン膜を水素ラジカルで処理して処理した窒化シリコン膜を形成する。

Description

発明の背景
1.発明の分野
[0001]本発明は薄膜形成の分野に関し、更に詳細には低堆積温度と高堆積速度で窒化シリコン膜を形成するための方法及び装置に関する。
2.関連技術の検討
[0002]現代の集積回路は、機能回路内に共に集積化された文字通り何百万というトランジスタで作られている。集積回路の計算出力又は記憶容量を更に増加するために、ゲート長及びゲート酸化膜厚のようなトランジスタの特徴部サイズが更に縮小されなければならない。残念なことに、トランジスタゲート長が絶えず縮小されるにつれて、デバイス内のドーパントの熱再分配のためにトランジスタの電気的特性や性能が著しく変化し得る。そのように、デバイスが更に縮小するにつれて、集積回路を製造するために用いられるサーマルバジェットもデバイスの一致した信頼性のある電気性能を保証するために減少されなければならない。即ち、デバイス寸法が減少するにつれて、集積回路の薄膜を形成するために用いられる堆積温度やプロセス温度も低下されなければならない。65ナノメートル技術以下のトランジスタ寸法を有する集積回路の製造には、550℃未満の堆積温度で形成することができる高品質の薄膜を必要とすると考えられる。
[0003]更に、半導体デバイスを更に縮小するために、デバイスを作るために用いられる薄膜は、組成や厚さが高い均一性で形成することができなければならない。厚さと組成が極めて均一な膜を形成するために、膜は、一般的には単一ウエハ堆積リアクタで形成されることを必要とする。単一ウエハリアクタにおいて製造可能時間量で薄膜を形成するために、堆積速度は少なくとも50オングストローム毎分とすべきである。
[0004]熱化学気相堆積(CVD)によって堆積される窒化シリコン薄膜は、半導体製造プロセス全体で用いられる。例えば、熱CVD窒化シリコン膜は、スペーサ膜、エッチングストップ、及びキャパシタ及びインタポリ誘電体として用いられる。残念なことに、熱化学気相堆積を用いる単一ウエハリアクタにおいて高品質窒化シリコン膜を形成する現在の技術は、750℃より高い堆積温度を必要とし及び/又は更に低温での堆積速度は低い。たいていの窒化シリコン堆積プロセスにおいては、堆積温度が550℃未満まで下げられる場合には、堆積速度は著しく低下し、0になり得る。更に、窒化シリコン膜が低温又は高堆積速度で堆積される場合、膜の品質は、一般的には悪い。
[0005]従って、550℃以下の低温で50オングストローム毎分より速い製造可能な堆積速度の熱化学気相堆積(CVD)によって高品質の窒化シリコン膜を形成する方法が求められている。
本発明の概要
[0006]窒化シリコン膜を形成する方法が記載される。本発明によれば、窒化シリコン膜は、低堆積温度(例えば、550℃未満)でシリコン/窒素含有原料ガス、又はシリコン含有原料ガス及び窒素含有原料ガスを熱分解して窒化シリコン膜を形成することにより堆積される。その後、熱堆積した窒化シリコン膜は、水素ラジカルで処理されて処理された窒化シリコン膜を形成する。
本発明の詳細な説明
[0012]本発明は、低堆積温度で形成し得る高品質の窒化シリコン膜である。以下の記載においては、本発明の十分な理解を得るために堆積及びアニール装置のような多くの個々の詳細が示されている。しかしながら、当業者は本発明がこれらを個々に詳述せずに実施することができることを理解する。他の場合には、周知の半導体処理は本発明を不必要に不明瞭にすることを避けるために、特に詳述されていない。
[0013]本発明は、熱化学気相堆積(CVD)によって550℃未満の低堆積温度で高品質の窒化シリコン膜を形成するための新規な方法及び装置である。窒化シリコン膜を堆積する方法の一例は、一般的には、図1のフローチャートに具体的に示されている。本発明の第一ステップによれば、図1のブロック102に示されるように、シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを含むプロセスガス混合物は、550℃以下、理想的には550℃未満の堆積温度(基板温度)でチャンバ内で熱分解され、窒化シリコン膜が堆積されるシリコン化学種と窒素化学種を生成する。1種又は複数の原料ガスは、窒化シリコン膜が550℃以下の低堆積温度(即ち基板又はウエハ温度)で少なくとも50オングストローム毎分、理想的には少なくとも100オングストローム毎分の堆積速度で熱化学気相堆積によって形成することを可能にするように選ばれる。
[0014]低温で十分に高い堆積速度で熱化学気相堆積によって窒化シリコン膜を製造するために使用し得るシリコン含有原料ガス又はシリコン/窒素含有原料ガスは、例えば、ヘキサクロロジシラン(HCD又はSi2C16)及び1,2ジエチルテトラキス(ジエチルアミノ)ジシラン、1,2‐ジクロロテトラキス(ジエチルアミノ)ジシラン、ヘキサキス(N‐ピロリジノ)ジシランのような有機シリコン含有ガス、他の塩素化又は非塩素化アルキルアミノジシラン又はモノシランR2N‐Si(R’2)‐Six(R’2)y‐NR2(x=y=0又は1;R、R’=Cl又はメチル又はエチル、又はイソプロピル、又は他のアルキル基、又は他のアルキルアミノ基、又はNを含有する環式基、又はシリル基のあらゆる組合わせ)が含まれる。
[0015]本発明に従って低温で窒化シリコン膜を形成するために用いられるシリコン原料ガス(前駆物質)又はシリコン/窒素原料ガス(前駆物質)は、分子を低温で容易に分解させるために弱いシリコン‐シリコン単結合(即ち、Si‐Si単結合)を有する。更に、シリコン原料ガス又はシリコン/窒素原料ガスも、理想的には、弱い単結合を有する各シリコン原子に結合した塩素(Cl)原子及び/又は窒素(N)原子を有する。即ち、シリコン原料ガス又はシリコン/窒素原料ガスは、理想的には弱いSi‐Si単結合に連続するSi‐Cl官能基及び/又はSi‐N官能基を有する。このことは、特に適切な堆積速度で常に温度を下げるために改善されたステップカバレージとマイクロローディングに重要である。本発明の実施形態においては、シリコン原料ガス又はシリコン/窒素原料ガスは弱いシリコン‐シリコン単結合を有し、シリコン原子の各々のその他の3つの結合は窒素(N)原子又は塩素(Cl)原子のいずれかに結合し、理想的には窒素原子と塩素原子に結合する。本発明の実施形態においては、シリコン原料ガス又はシリコン/窒素原料ガスは有機基を含み、有機基は、理想的には、他のシリコン原子と単結合を有するシリコン原子に結合する窒素原子に結合する。或いは、有機基は他のシリコン原子と弱い単結合を有するシリコン原子に直接結合し得る。シリコン原料ガス又はシリコン/窒素原料ガスは理想的には対称分子である。
[0016]本発明に従って低温でシリコン窒素含有膜を堆積するために使用し得る窒素原料ガス又は前駆物質は、アンモニア(NH3)又はN24を含むが、これに限定されない。窒素原料ガスは、理想的には、低温で窒素原料ガスが容易に分解させることを可能にする弱い窒素‐窒素単結合(即ち、N‐N単結合)を含んでいる。更に、シリコン/窒素含有原料ガスがプロセスガス混合物に用いられる場合、ある量の窒素原料ガスが、典型的には、膜堆積中に堆積された膜の組成について可撓性制御のためのガス混合物に含まれる。
[0017]窒化シリコン膜が、低温で高堆積速度で堆積されるので、窒化シリコン膜は典型的には最初は膜の品質が悪い。即ち、“堆積されたままの”最初の窒化シリコン膜は、例えば、優位部分ののSi‐H形を有する高全水素濃度(例えば、15原子パ高いーセント以上より高い)、有機シリコン前駆物質が用いられる場合には高炭素濃度(例えば、10原子パーセント以上)、塩素化シリコン前駆物質が用いられる場合には高塩素濃度(例えば1原子パーセント以上)、低屈折率(例えば1.85未満)、高ウェットエッチング速度(例えば、バッファ酸化物エッチング(BOE)のような酸化物エッチングを用いた酸化シリコンのエッチング速度の2倍を超える)を有する。
[0018]図1のブロック104に示される堆積されたままの窒化シリコン膜の品質を改善するために(例えば、全水素濃度を10原子パーセント未満に低下させる又はSi‐H形の部分を減少させる又は塩素濃度を、例えば、1原子パーセント未満に減少させる又は屈折率を1.90より高くする又はウェットエッチング速度をBOEのような酸化物エッチングを用いて酸化シリコンのエッチング速度、例えば、ほぼ同じ(1:1)にする)、膜は所定時間水素ラジカルで処理される。水素ラジカルは、例えば、プラズマ分解(インサイチュかリモートか)又はアンモニア(NH3)や水素(H2)のような水素含有ガスの“熱線”分解によって形成し得る。堆積されたままの窒化シリコン膜は、5×1015原子/cm2‐1×1017原子/cm2のフラックスで水素ラジカルを用いて処理されることができる。水素ラジカル処理の間、基板は450‐600℃の間の低温に加熱処理される。十分な処理は、典型的には15‐120秒以内に起こり得る。本発明のプロセスは、高品質の窒化シリコン膜を低堆積温度で製造可能な高堆積速度(例えば、50オングストローム/minより速い)で熱化学気相堆積によって形成することを可能にする。堆積温度がデバイスのサーマルバジェットに劇的に影響せず又はドーパントの再分配を変えるのに十分に低い温度であることから、低堆積温度は半導体回路製造プロセスにおいてトランジスタ又は能動デバイス形成の後の適用又は位置で窒化シリコン膜を用いることを可能にする。窒化シリコン膜の高堆積速度は、プロセスを単一ウエハリアクタにおいて実施させることを可能にする。
[0019]本発明の実施形態に従って単一ウエハリアクタにおいて窒化シリコン膜を堆積及び処理する方法の一例は、図2のフローチャート200で具体的に説明されている。第一ステップは、窒化シリコン膜を熱化学気相堆積によってウエハ又は基板上に堆積させるものである。窒化シリコン堆積プロセスの個々の例は、フローチャート200のブロック201として図2に示され、フローチャート200のステップ202‐210を含むことができる。窒化シリコン膜を堆積する際の第一ステップは、チャンバ内にウエハ又は基板を入れるものである。理想的には、窒化シリコン膜は、アプライドマテリアルズ社のXgen Chamberのような、ウエハを加熱するための抵抗加熱された基板支持体を有する減圧単一ウエハ冷却壁リアクタのチャンバ内で形成される。適したチャンバの一例は、図4に図示され具体的に説明されている。
[0020]一旦基板をチャンバ内に入れると、窒化シリコン膜を堆積するために用いられる堆積圧と温度が達成される。本発明の実施形態においては、窒化シリコン膜の堆積が生じる堆積圧は、10‐350torrである。堆積温度(即ち、ウエハ又は基板の温度)は、窒化シリコン膜を堆積するために用いられる個々のプロセスガス(例えばシリコン含有原料ガス及び窒素含有原料ガス)に左右される。ウエハ又は基板温度は、堆積プロセスの間、550℃以下、理想的には550℃未満、一般的には550‐450℃にある。次に、ブロック206に示されるように、プロセスガスは堆積チャンバ内に導入される。プロセスガス混合物は、少なくともシリコン含有原料ガス(即ち、窒化シリコン膜の堆積のためにシリコン原子又はシリコン含有中間体化学種を与えるために分解され得るガス)、窒素含有原料ガス(即ち、窒化シリコン膜堆積のために窒素原子又は窒素含有化学種の原料を与えるために熱分解され得るガス)を含んでいる。或いは、プロセスガス混合物は、単一分子から窒化シリコン膜のために窒素原子とシリコン原子又は窒素とシリコンの担持中間体化学種双方の原料を与えるシリコン/窒素原料ガスを含むことができる。シリコン/窒素原料ガスが用いられる場合、プロセスガス混合物は窒素原料ガス及び/又はシリコン原料ガスも含むことができ又は窒素とシリコンの原料を追加せずにシリコン/窒素原料ガスだけを含むことができる。
[0021]窒素含有原料ガスは、アンモニア(NH3)やヒドラジン(N24)を含むことができるが、これらに限定されない。本発明の実施形態においては、チャンバ内にシリコン原料ガスを供給する前に、窒素原料ガスが堆積チャンバ内に供給される。更に、本発明の実施形態においては、窒素原料ガスは、弱い窒素‐窒素単結合(即ち、N‐N単結合)を有する。
[0022]本発明に従って低温で窒化シリコン膜を形成するために用いられるシリコン原料ガス(前駆物質)又はシリコン/窒素原料ガス(前駆物質)は、分子を低温で容易に分解させることができる、弱いシリコン‐シリコン単結合(即ち、Si‐Si単結合)を有する。更に、シリコン原料ガス又はシリコン/窒素原料ガスは、理想的には、弱い単結合を有するシリコン原子の各々に結合される塩素(Cl)原子及び/又は窒素(N)原子を有する。即ち、シリコン原料ガス又はシリコン/窒素原料ガスは、理想的には、弱いSi‐Si単結合に連続するSi‐Cl官能基及び/又はSi‐N官能基を有する。このことは、特に、適切な堆積速度で常に温度を下げるために改善されたステップカバレージやマイクロローディングに重要である。本発明の実施形態においては、シリコン原料ガス又はシリコン/窒素原料ガスは、弱いシリコン‐シリコン単結合を有し、シリコン原子の各々のその他の3つの結合は窒素(N)原子又は塩素(Cl)原子のいずれかに結合し、理想的には窒素原子と塩素原子に結合されている。本発明の実施形態においては、シリコン原料ガス又はシリコン/窒素原料ガスは、有機基が、理想的には、他のシリコン原子と単結合を有するシリコン原子に結合される窒素原子に結合されている有機基を含んでいる。或いは、有機基は、他のシリコン原子と弱い単結合を有するシリコン原子に直接結合され得る。シリコン原料ガス又はシリコン/窒素原料ガスは、理想的には対称分子である。
[0023]本発明の実施形態においては、シリコン原料ガスはヘキサクロロジシラン(HCD)である。窒化シリコン膜は、チャンバ内にHCD及びNH3又はN24を供給することによって形成され得る。HCDが用いられる場合には、反応チャンバ内に導入される前にN2のような不活性キャリアガスと混合することができる。N2のようなキャリアガスが、反応せず、反応の堆積温度で熱分解せず、そのように反応に関与しないことは理解すべきである。本発明の実施形態においては、500‐5000sccmの窒素原料ガスが供給される一方で、HCDは10‐200sccmの速度で反応チャンバへ供給される。一実施例として、HCD原料ガス及び窒素原料ガスの流量は、1:1〜1:1000、理想的にはそれぞれ1:1〜1:500である。そのようなプロセスは、530℃のウエハ温度、約80オングストローム/minの堆積速度、480℃のウエハ温度、約50オングストローム/minの堆積速度で窒化シリコン膜を形成することができる。
[0024]本発明の実施形態においては、シリコン含有原料ガスは、1,2ジエチルテトラキス(ジエチルアミノ)ジシラン、1,2‐ジクロロテトラキス(ジエチルアミノ)ジシラン、ヘキサキス(N‐ピロリジノ)のような有機シリコン含有ガス、及び他の塩素化又は非塩素化アルキルアミノジシラン又はモノシランR2N‐Si(R’2)‐Six(R’2)y‐NR2(x=y=0又は1;R、R’=Cl又はメチル、又はエチル、又はイソプロピル、又は他のアルキル基、又は他のアルキルアミノ基又はNを含有する環式基、又はシリル基のあらゆる組合わせ)を含有する有機シリコン含有ガスである。適切な窒化シリコン膜は、10‐100sccmの流量の1,2‐ジクロロテトラキス(ジエチルアミノ)ジシラン、200‐2000sccmの流量の窒素原料ガスを用いて形成され得る。適切な窒化シリコン膜は、10‐100sccmの間の流量の1,2‐ジエチルテトラキス(ジエチルアミノ)ジシラン、200‐2000sccmの間の流量の窒素原料ガスから堆積し得る。そのようなプロセスは、ウエハ温度530℃、約80オングストローム/minの堆積速度、ウエハ温度480℃、約50オングストローム/minの堆積速度で窒化シリコン膜を形成することができる。
[0025]次に、フローチャート200のブロック208に示されるように、加熱した基板又は基板支持体からの熱がシリコン/窒素原料ガス又はシリコン原料ガスと窒素原料ガスを熱分解させる。シリコン原料ガスの熱分解によって、シリコン原子又はシリコン含有中間体化学種が得られる。窒素原料ガスの熱分解によって、窒素原子又は窒素含有中間体化学種が得られる。シリコン/窒素原料ガスの熱分解によって、シリコン原子又はシリコン中間体化学種と窒素原子又は窒素中間体化学種双方を得ることができる。シリコン原子又はシリコン含有中間体化学種は、基板の表面上に窒化シリコン膜を堆積するために窒素原子又は窒素含有中間化学種と反応する。本発明においては、シリコン/窒素含有原料ガス又はシリコン原料ガス及び窒素原料ガスは、光子増強又はプラズマ増強のような追加のエネルギー源を援助せずに基板からの熱又は基板支持体からの熱のような熱エネルギーだけを用い熱分解されることが理解される。本発明の実施形態においては、窒化シリコン膜は、10‐150オングストロームの厚さ、120オングストローム未満に堆積され、理想的には80オングストローム未満が好ましい。更に厚い膜が所望される場合には、後に検討される厚い膜を堆積するために複数の堆積/水素ラジカル処理サイクルを使用し得る。
[0026]本発明の実施形態においては、ブロック210に示されるように、十分に厚い窒化シリコン膜の堆積後に、シリコン原料ガスと窒素原料ガスのフローが停止される。本発明の実施形態においては、窒化シリコンの堆積が完了したときに、任意により、ブロック210に記載されるように基板を窒素原料ガスで処理することができる。窒素原料ガスだけが約10秒間反応チャンバに導入される。堆積ステップの最後に窒化シリコン膜に窒素原料ガスを用いて処理すると、基板上の未反応のシリコン部位が終了する。この操作は、窒化シリコン膜におけるN/Si比の増加や水素(特にSi‐H結合形)の減少が援助される。しかしながら、操作210は、本発明の良好な窒化シリコン膜を達成するのに必要ではない。
[0027]窒化シリコン膜を堆積するために本発明に用いられるプロセスガス混合物は、550℃未満の低堆積温度、理想的には500℃未満の温度で少なくとも50オングストローム毎分、理想的には100オングストローム毎分より速い速度で窒化シリコン膜を熱化学気相堆積によって堆積させることを可能にする。
[0028]窒化シリコン膜は、高堆積速度及び/又は低堆積温度で堆積されるので、“堆積されたままの”窒化シリコン膜は品質が悪くなる傾向がある。即ち、“堆積されたままの”窒化シリコン膜は、15原子パーセントより高い水素濃度、有意にはSi‐H形で、1.85未満の低い屈折率、高ウェットエッチング速度(例えば、バッファ酸化物エッチング(BOE)のような酸化物エッチングを用いた酸化シリコンのエッチング速度の2倍を超える)である傾向がある。更に、塩素化及び/又は有機シリコン前駆物質が用いられる場合、更にその膜はそれぞれ1.0原子パーセントと10原子パーセントより高い塩素濃度と炭素濃度であり得る。そのような悪い品質の窒化シリコン膜は、スペーサやインタポリ誘電体のような半導体デバイス製造において窒化シリコン膜の多くの適用に適していない。
[0029]本発明によれば、“堆積されたままの”窒化シリコン膜は、フローチャート200のブロック212に示される“堆積されたままの”膜の質を改善するために所定の時間水素ラジカルで処理される。本発明の実施形態においては、窒化シリコン膜は、5×1015原子/cm2‐1×1017原子/cm2、理想的には約1×1016原子/cm2のフラックスで水素ラジカルに晒される。本発明の実施形態においては、窒化シリコン膜は、基板を450‐600℃の温度に基板を加熱しつつ15‐120秒間水素ラジカルで処理される。水素ラジカル処理は、100ミリトル〜5トルの圧力で起こり得る。
[0030]水素ラジカル処理に用いられる水素ラジカルは、あらゆる適切な方法で生成させることができる。本発明の実施形態においては、水素ラジカルは、十分多くの水素ラジカルを得るために分解され得る水素含有ガスのプラズマ分解によって形成される。水素ラジカルは、非常に活性化された中性の原子水素、帯電した水素イオン全ての水素化学種を含んでいる。適切な水素原料ガスは、アンモニア(NH3)、水素ガス(H2)を含んでいる。本発明の実施形態においては、水素原料ガスは、NH3とH2の混合物を含んでいる。本発明の実施形態においては、水素処理ガスは、NH3のみ又はH2のみを含んでいる。更に、本発明の実施形態においては、N2、Ar又はHeのような不活性ガスは水素処理ガスと共に供給され得る。200‐2000ワットの電力でマイクロ波又は高周波電源を用いて水素ラジカルを供給するために水素含有ガスが解離され得ることが適切である。水素処理ガスのプラズマ分解は、インサイチュで又はリモートプラズマを用いて達成され得る。インサイチュプロセスにおいては、プラズマと水素ラジカルは、処理すべき窒化シリコン膜を有する基板が置かれる同一のチャンバ内で生成される。適切なプラズマチャンバの一実施例は、容量結合PECVD又は高密度プラズマHDPチャンバを含んでいる。リモートプラズマ処理においては、水素ラジカルとプラズマは、処理すべき窒化シリコン膜を有する基板が置かれるチャンバから離れたチャンバ内でマイクロ波で生成される。リモートプラズマ処理においては、プラズマと水素ラジカルは、第一チャンバ(解離チャンバ又はキャビティ)において生成され、その後解離チャンバから処理すべき窒化シリコン膜を備えた基板を収容した第二チャンバにコンジットを通って流れ込む。あらゆる適切なリモートプラズマ生成リアクタ、例えば、Astex Astron、アプライドマテリアルズ社のリモートプラズマ窒化RPNソース、及びアプライドマテリアルズ社のアドバンストストリップパッシベーションプラス(ASP)チャンバを使用し得るが、これらに限定されない。
[0031]本発明の実施形態においては、水素ラジカルは、“熱線“又はアンモニア(NH3)及び水素ガス(H2)又はその組合わせのような水素含有ガスの触媒分解によって形成される。“熱線”プロセスにおいては、タングステンフィラメントのような線又は触媒は、約1600‐1800℃の高温に加熱され、水素処理ガスがフィラメント上に供給される。加熱フィラメントが水素処理ガスのクラッキング又は分解を起こして水素ラジカルを形成させる。その後、水素ラジカルがフィラメントの下に位置した基板上に形成された窒化シリコン膜を処理する。フィラメントは高温であるが、処理プロセスの間、基板はまだ600℃未満、好ましくは550℃未満の低温にしか加熱されていない。本発明の更に他の実施形態においては、誘起生成プラズマを水素ラジカルを生成するために用いることができる。
[0032]本発明の実施形態においては、“堆積されたままの”窒化シリコン膜は、膜の水素濃度を10原子パーセント未満に減少させる及び/又はSi‐H結合の部分を減少させる、屈折率を1.9より大きくする一定の時間、温度と圧力で水素ラジカルに晒される。有機シリコン原料ガスが用いられる場合には、膜中の炭素濃度が5原子パーセントより下がるまで膜を処理することができる。塩素化シリコン原料ガスが用いられる場合には、膜中の塩素濃度は1原子パーセントより下がるまで処理することができる。本発明の実施形態においては、窒化シリコン膜は、ウェットエッチング速度がBOEを用いた酸化シリコンとほぼ同じになるまで処理される。
[0033]水素ラジカルが窒化シリコン膜を浸透できる距離は、低温プロセスによって、一般的には100オングストローム未満、典型的には50オングストローム未満に制限されるので、150オングストローム以下、好ましくは100オングストローム以下の窒化シリコン膜が水素ラジカル処理前に形成される。更に厚い窒化シリコン膜が所望される場合、複数の堆積及び処理サイクルが使用し得る。例えば、300オングストロームの窒化シリコン膜が所望される場合には、100オングストロームの第一窒化シリコン膜を堆積させることができ、その後その窒化シリコン膜が水素ラジカルで処理される。次に、100オングストロームの第二窒化シリコン膜が処理された窒化シリコン膜上に堆積させ、その後第二窒化シリコン膜が水素ラジカルで処理される。次に、100オングストロームの第三窒化シリコン膜を第二窒化シリコン膜上に堆積させ、水素ラジカルで処理される。このようにして、高品質の窒化シリコン膜があらゆる所望の厚さに形成することができる。
[0034]本発明の窒化シリコン膜を形成する方法は、低熱供給を必要とする及び/又はシリコン基板に入れたドーパントの再分配を防止する半導体デバイスの製造に用いるのに理想的である。本発明の窒化シリコン膜の使用の一例は、側壁スペーサの製造にある。そのような適用においては、図3Aに示される基板300のような基板が得られる。基板300は、単結晶シリコン基板又はその上にゲート絶縁層304が形成された膜302を含んでいる。横に向かい合った側壁を有するゲート電極306は、ゲート絶縁層上に形成される。典型的には、ソース/ドレイン先端又は拡張領域310はゲート電極306の横方向に向かい合った側壁と一直線に、シリコン基板302内に形成される。
[0035]次に、図3Bに示される本発明に従って形成される低温窒化シリコン膜は、図300の基板上に全面に堆積される。窒化シリコン膜312の厚さは、製造されるトランジスタの物理特性に左右され、65nm技術の場合、デバイスは、一般的には少なくとも200オングストロームである。本発明によれば、窒化シリコン膜は、上記のように高堆積速度で低堆積温度を用いて堆積される。厚さが100オングストローム以下、理想的には50オングストローム未満の窒化シリコン層が最初に形成される。その後、窒化シリコン膜は、上記のように水素ラジカルでアニールされる。次に、必要ならば、第二窒化シリコン膜が水素ラジカル処理された第一窒化シリコン膜上に形成される。その後、第二窒化シリコン膜は、上記のように水素ラジカルで処理される。次に、第三窒化シリコン層が上記のように低温化学気相堆積によって堆積される。プロセスは、所望される全体の厚さを有する窒化シリコン膜312が形成されるまでこの方法が続けられる。ある使用の実施形態においては、堆積された又は成長した酸化シリコン層は、窒化シリコン層の前に形成されるので、窒化シリコン層の下になる。窒化シリコン層312が低温プロセスで形成され、低温において水素ラジカルで処理されるので、ソース/ドレイン拡張部310を形成するドーパントは窒化シリコン中に本質的に移動せず基板302内に再分配させる。このようにして、製造されたデバイスの電気特性が一致する。
[0036]次に、図3Cに示されるように、十分に厚い窒化シリコン層312の形成後に、窒化シリコン312は、横に向かい合った側壁のゲート電極306に沿っている側壁スペーサ314を形成するように異方性エッチングすることができる。異方性エッチングプロセスは、ゲート電極306の側壁のような、垂直表面上の窒化シリコン層を残しつつ、ソース/ドレイン拡張部310及びゲート電極306の上面のような水平面から窒化シリコンを取り除く。側壁スペーサ314の形成後、深いソース/ドレイン領域316及び/又はソース/ドレイン領域上のシリサイド318の形成のような半導体デバイスの追加処理が起こり得る。側壁スペーサ314は、深いソース/ドレイン領域を隔離することを可能にし、チタンシリサイド又はコバルトシリサイドのようなシリサイドを当該技術において周知の自己整列プロセスにおいてソース/ドレイン領域上及びゲート電極の上面に形成させることを可能にする。
低温窒化シリコン膜を形成するための装置
[0037]本発明の窒化シリコン膜は、理想的には低圧熱化学気相堆積リアクタで形成される。適切なリアクタ400の一例は、図4に示される。本発明の実施形態においては、水素ラジカル処理は、窒化シリコン膜を堆積するために用いたチャンバと同じチャンバ内で起こり得る。膜を堆積するために用いた同じチャンバ内で水素ラジカルで“堆積したままの”窒化シリコン膜を処理するために、リモートプラズマ供給源を低圧化学気相堆積リアクタに結合して水素ラジカル供給源をチャンバに供給することができる。低圧化学気相堆積リアクタ400に結合したリモートプラズマ生成源801の一実施例は、図4にも示されている。リモートプラズマ発生器801を熱化学気相堆積リアクタ400に結合すると、本発明の処理量を大幅に改善し、窒化シリコン堆積後に窒化シリコン層を水素ラジカルで直接処理させることを可能にする。更に、連続した堆積/処理サイクルが200オングストロームより厚い窒化シリコン膜のように厚い窒化シリコン膜を形成するために用いられる場合、そのような装置はウエハ処理量を劇的に改善する。
[0038]図4は、リアクタ容器アセンブリ(リアクタ)400を示す図である。図4は、リアクタ400が、プロセスガス、前駆ガス、又は反応ガスがウエハ基板(図示せず)上にシリコンを含む膜を形成するために熱分解される反応チャンバ408を画成するチャンバ本体406を備えていることを示している。チャンバ本体406は、チャンバが10〜約350Torrの圧力を維持する材料から構成されている。一具体的実施形態としては、チャンバ本体406はアルミニウム合金材料から構成されている。チャンバ本体406は、チャンバ本体を冷却するように注入される温度制御された液体の通路410を備えている。温度制御された液体の通路を備えたリアクタ400は、“冷却壁”リアクタと呼ばれる。チャンバ本体406を冷却すると、反応化学種の存在と高温によってチャンバ本体406を形成するために用いられる材料の腐食が防止される。
[0039]チャンバ本体406には、反応チャンバ408、チャンバリッド426、フェイスプレート(又はシャワーヘッド)430、ブロッカープレート428、抵抗加熱アセンブリ404が備えられている。抵抗加熱アセンブリ404は、ニッケルからできた加熱チューブ414の長さを通るリード線412を含んでいる。加熱チューブ414の端には、加熱ディスク416が焼結AINから作られている。加熱ディスク416内には加熱コイル418が1つ以上のモリブデンから作られている。それらの線412とコイル418はロウ材によって結合され、互いに導電性がある。それらの線412は、AINセラミックスリーブで熱隔離されている。コイル418は大抵の電気抵抗を与えるので、たいていの反応チャンバ408を加熱する。加熱ディスク416の端にポケット422と呼ばれる溝があり、ポケット422内にウエハ(図示せず)が配置される。
[0040]図4は、チャンバ本体408が更にリフターアセンブリ436を収容している図を示している。リフターアセンブリ436は、反応チャンバ408の内外にウエハ基板(図示せず)の移動を容易にする。リフターアセンブリ436は、ステッパモータであり得る。リフターアセンブリ436は、反応チャンバ408の内外にウエハ基板の移動を容易するために軸405に沿ってヒータアセンブリ404を上下移動させる。
[0041]基板又はウエハは、例えばロボット搬送機構(図示せず)によって挿入ポート434から反応チャンバ408に配置される。一実施形態においては、ロボット搬送機構は搬送ブレードに結合し、ロボット搬送機構が搬送ブレードを制御する。搬送ブレードは、反応チャンバ408内に、また、加熱ディスクアセンブリ416のポケット422上に基板を装填するための開口部から基板を挿入する。基板が装填されている場合、リフターアセンブリ436は、加熱ディスク416の表面が挿入ポート434の下にあるように軸405に沿って下位方向にヒータアセンブリ404と加熱ディスク416を下げる。加熱ディスク416が下げられた場合、基板は反応チャンバ408内に配置される。一旦基板が装填されると、挿入部434は密封され、リフターアセンブリ436はフェイスプレート430に対して上位(例えば、上向き)方向にヒータアセンブリ404と加熱ディスク416を移動又は前進させる。一実施形態の例として、ウエハ基板がフェイスプレート430から短い距離(例えば400‐900ミル)にある場合、その前進が停止する。
[0042]一例示的実施形態においては、堆積又は処理の準備ができた場合、ガスパネル401によって制御されたプロセスガス又は前駆ガスが反応チャンバ408内に導入される。ブロッカープレート428は、ガスフローをそれを通って適応させるために複数の穴(図示せず)を有する。プロセスガスは、最初にポート424、ブロッカープレート428、次にフェイスプレート438を通って反応チャンバ408に導入される。プロセスガスは、ポート424からブロッカープレート428の複数の穴、次にフェイスプレート430を通って分配される。フェイスプレート430は、反応チャンバ408内にプロセスガスを均一に分配させる。
[0043]基板は、例えば、ヒータアセンブリ404より下位(下部)に位置させることによってチャンバから取り出すことができる。リフターアセンブリ436の動作により、加熱アセンブリ404が下位方向に移動するにつれて、リフトピン442はコンタクトリフトプレート444と接触し、静止状態を維持し、最終的には下がっている加熱ディスク416から基板を分離するために加熱ディスク416の上面に伸びる。その後、搬送ブレードが開口部434を通って挿入され、基板と加熱ディスク416の間に配置される。その後、コンタクトリフトプレート444が下げられ、それによってリフトピン442を下げ、基板を搬送ブレード上に下げさせる。その後、基板を搬送ブレードによって挿入ポート434を通って取り出すことができる。
[0044]上記機構は、次の基板のために繰り返すことができる。適切なリフターアセンブリ436の詳細な記載は、カリフォルニア州サンタクララのアプライドマテリアルズ社から譲渡される、米国特許第5,772,773号に記載されている。
[0045]リアクタ400は、また、反応チャンバ408内部の処理温度をモニターするために温度指示器(図示せず)を含んでいる。一例として、温度指示器は熱電対とすることができ、その熱電対は、加熱ディスク416の表面(又は加熱ディスク416によって支持される基板の表面)における温度についてデータを便利に得るように配置される。リアクタ400においては、基板の温度は加熱ディスク416の温度より20‐30℃わずかに冷却される。
[0046]図4は、更に、反応チャンバ408が温度制御ライナ又は絶縁ライナ409に沿って並んでいることを示している。上述のように、チャンバ本体406は、冷却壁チャンバ効果を生じるように温度制御された液体の通路410を含んでいる。反応チャンバ408内部の反応温度は、600℃以上の温度にすることができる。反応チャンバ408内で膜を形成するために用いられる化学においては、高温が反応チャンバ408のチャンバ本体406を容易に腐食させる。従って、チャンバ本体406は、水又はチャンバを冷却する他の冷却液のような温度制御された液体の通路410を備えている。このことは、チャンバ本体406を容易に腐食させるチャンバ本体406があまりに熱くなることを防止する。このような冷却壁チャンバに関連づけることができる問題は、鋭い温度低下を受ける傾向があるチャンバの冷却壁と密接に近接している反応チャンバ408内部領域が温度の急な降下を受ける傾向があることである。これらの領域での急な温度低下は、反応チャンバ408において形成されたシリコンを含む膜にとって望ましくない又は不都合である微粒子の形成又は凝結を促進させる。例えば、窒化シリコン(Si34)膜を形成するための堆積プロセスにおけるHCD及びNH3の反応は、典型的には、NH4Clの形成を引き起こす。NH4Clは、形成されるSi34に対する汚染を防止するために洗浄を必要とする望ましくない塩の副生成物である。温度低下が約150℃より低くなる場合、NH4Clのような凝縮が生じる。これらのパーティクルは、チャンバ壁から取り除くことができる。取り除かれたパーティクルは、ウエハ基板上にパーティクル形成の核形成部位を形成する。一実施形態においては、反応チャンバ408は、望ましくない微粒子の凝縮を防止するために温度制御されたライン409と並べられる。
[0047]一実施形態においては、温度制御されたライナ409がチャンバ本体406の壁に沿ってわずかな物理的接触点だけを有するように温度制御されたライン409がチャンバ本体406の壁に結合される。(例えば、図4に示される接触点459を参照のこと)。温度制御されたライナ409とチャンバ本体406の壁との間の物理的接触を最少にすることは、伝導点を最少にすることによってチャンバ本体406における熱損失を最少にさせる。
[0048]パージガス(例えば、窒素)は、望ましくない堆積を防ぐために堆積中に反応チャンバ408の底面に供給することが可能である。
[0049]リアクタ400は、また、1つ又は複数の圧力調節器に結合している。圧力調節器は、反応チャンバ408における圧力を設定し維持する。このような圧力調節器は、当該分野において既知である。例示的な実施形態に使用し得る圧力制御器は、約10Torr〜約350Torrの範囲のレベルで圧力維持をすることができなければならない。或いは、リアクタ400は、ガス排気システム(図示せず)に結合することもでき、反応チャンバ408からガスを排気するために当該分野において周知である。ガス排気システム(例えば、スロットルバルブを含むことができる)は、反応チャンバ408における圧力を制御するために使用し得る。リアクタ400は、また、反応チャンバ408内の処理圧力をモニタするセンサ(図示せず)に結合している。
[0050]一実施形態においては、コントローラ又はプロセッサ/コントローラ900は、センサから信号を受け取るためにチャンバ本体406に結合し、チャンバ圧力が表示される。プロセッサ/コントローラ900は、また、窒素原料ガス、シリコン原料ガス、不活性及び/又はパージガスのフローを制御するためにガスパネルシステム401に結合することができる。プロセッサ900は、反応チャンバ408内で望ましい圧力を調節又は維持するために1つ又は複数の圧力調節器と共に動作し得る。更に、プロセッサ/コントローラは、加熱ディスクの温度を制御することができるので、そこに載置された基板の温度を制御することができる。プロセッサ/コントローラ900は、本発明の窒化シリコン膜を形成するために上に示されたパラメータ内で、窒素原料ガスフロー、シリコン原料ガスフロー、不活性ガスフロー、また、チャンバ内の圧力、加熱ディスクの温度を制御するために読み取り可能なコンピュータフォーマットに命令の入っているメモリを含んでいる。例えば、550℃以下の温度に基板を加熱するための命令、550℃以下の温度に基板を加熱しつつ、シリコン原料ガス、及び窒素原料ガス及び/又はシリコン/窒素原料ガスをチャンバ408に供給するための命令、チャンバ408内の圧力を10‐350Torrに制御するための命令がプロセッサー/コントローラ900のメモリに記憶される。
[0051]リアクタ400の構成部品の材料は、露出した構成部品が本発明の高温処理と適合できなければならないように選択される。シリコンを含む膜を形成するために本発明の前駆物質又は反応化学種の熱分解は、600℃程度までの反応チャンバ408内部の温度を必要とする。リアクタ400の構成部品の材料は、そのような高温に耐えるタイプでなければならない。一実施形態においては、チャンバ本体406は、陽極酸化硬質アルミニウムと同じような耐腐食性金属から作られる。このようなタイプのアルミニウムは、しばしば高価である。或いは、チャンバ本体406は、そこを通る温度制御された液体の通路410を含んでいる。温度制御された液体の通路は、通路がチャンバ本体406を冷却状態に維持するので、チャンバ本体406を非常に安価なアルミニウム合金又は他の適切な金属から作ることが可能になる。記載されたように、このことは、リアクタ400がしばしば冷却壁リアクタと呼ばれる理由の一つである。冷却壁又は冷却されたチャンバ本体406上の望ましくない凝縮を防止するために、上記温度制御されたライナ409は、反応チャンバ408から放出された熱を吸収するとともに、膜形成適用に依存して少なくとも約150以上の温度、或いは少なくとも約200℃以上の温度に温度制御されたライナ409の温度を保つ材料から作ることができる。一実施形態においては、温度制御されたライナ409は、望ましくない凝縮を防ぐのに十分な温度に維持される必要がある。
[0052]或いは、構成部品材料は、また、プロセスガス、及び洗浄化学薬品及び反応チャンバ408内に導入することができる前駆物質のような他の化学薬品と適合できるものにすべきである。一実施形態においては、加熱アセンブリ404の露出した表面は、材料がプロセスと適合できる種々の材料から構成されてもよい。例えば、この検討における例示的実施形態は、高温で適用すべき腐食性化学を必要とする。従って、加熱アセンブリの構成部品は、この環境に耐えなけばならない。一例としては、加熱アセンブリの構成部品は、窒化アルミニウム(AIN)のようなセラミック材で作られる。加熱アセンブリ404の加熱ディスク416も、窒化アルミニウム材料をから構成されてもよい。
[0053]一実施形態例においては、反応チャンバ408は、N2、He、Ar又はその組合わせのような安定化ガスを用いて安定化させる。一例としては、反応チャンバ408に安定化ガスを放出させるガスパネルシステム401にマニホールドが含まれる。安定化ガスの流量は、5‐6リットルの容量を有するリアクタ400の場合、1,000sccmから10,000sccmの範囲にあり、好ましくは約2,000sccmであり得る。
[0054]本発明の実施形態においては、リアクタ400は、堆積チャンバ408に水素ラジカルを生成し供給するリモートプラズマ発生器801に結合されている。リモートプラズマ発生器801は、マイクロ波電源を用いてマイクロ波を生成するマグネトロン802を含んでいる。マグネトロン802は、好ましくは、10,000ワットの2.5Ghzのマイクロ波エネルギーでまで生成し得る。要求される電力量は、チャンバ408のサイズに依存(比例)することに留意すべきである。300mmウエハを処理するために用いられるアニールチャンバの場合、10,000ワットの電力は十分にちがいない。マイクロ波電源は装置800内にプラズマを生成するために用いられるが、高周波(RF)のような他のエネルギー源が用いてもよい。
[0055]マグネトロン802は、インピーダンスマッチングを与えるためにアイソレータ及びダミーロード804に結合されている。ダミーロードは、マグネトロンヘッドに反射電力が行かないように反射された電力を吸収する。アイソレータ及びダミーロード804は、導波管806によって結合され、それはオートチューナ808にマイクロ波エネルギーを転送する。オートチューナ808は、電源に送られるマイクロ波エネルギーの反射電力を減少させるためにインピーダンスマッチングスタッブを駆動させる3つのステッパモータを用いるインピーダンスマッチングヘッドと分離検出器モジュールからなる。オートチューナ808は、コンジット812によってアプリケータキャビティ810内に供給した水素処理ガスによってエネルギーが吸収されるようにマイクロ波アプリケータキャビティ(又はチャンバ)の中心にマイクロ波エネルギーを集中させる。オートチューナーが好ましいが、マニュアルチューナーを使うこともできる。
[0056]アプリケータ810は、アプリケータ810内部に位置する石英プラズマチューブを通って下方に流れるにつれて、水素処理ガスからプラズマを生成するためにマグネトロンから受け取ったマイクロ波エネルギーを用いる。水素ラジカルを生成するために用いられるH2やNH3のようなこれらに限定されない水素処理ガスのタンクのような供給源814は、マイクロ波アプリケータ810に結合している。或いは、アルゴン(Ar)、又はヘリウム(He)のような不活性ガスの供給源は、アプリケータ810に結合することもできる。プリファイア水銀ランプは、処理ガスを部分的に電離させるためにプラズマチューブに紫外光を放射するために使用することができ、それにより、プラズマを点火させるマイクロ波エネルギーを容易に作ることができる。
[0057]マグネトロン802からのマイクロ波エネルギーは、本質的に3つの構成要素;本発明のためにすべてが“水素ラジカル”を構成する、電離した又は帯電した水素原子、電気的に中性の活性化された(反応性の)水素原子、水素含有中間化学種からなるプラズマに水素処理ガスを変換する。
[0058]アプリケータ810は、装置400のリッドにボルト留めされ得る。濃縮プラズマ混合物は、チャンバ408にコンジット814を通って下方に流れる。水素ラジカルは、アニールすべき基板が位置するチャンバ408から分離又は離れている位置(チャンバ810)で生成されるので、水素ラジカルは、“離れて生成された”と言われる。
[0059]リモートプラズマ供給源801は、プロセッサ/コントローラ900に結合され得る。プロセッサ/コントローラ900は、読み取り可能なコンピュータフォーマットのメモリに記憶された命令を含むことができ、それは上記の水素ラジカル処理プロセスを達成するためにリモートプラズマ供給源801の動作を制御する。命令は、例えば、窒化シリコン膜を処理するために必要な5×1015原子/cm2〜1×1017原子/cm2のフラックスのような望ましい水素ラジカルフラックスを得るために水素プロセスガス流量と電力を制御する命令を含むことができ、加熱ディスクの温度(従ってウエハの温度)と水素ラジカル処理プロセス中のチャンバ408内の圧力を制御するための命令を含むこともできる。
[0060]更に、本発明は単一ウエハ装置を用いることに関して記載されているが、本発明の堆積プロセスと処理プロセスは、望まれるならば、本発明の範囲から逸脱しないようなセミバッチ又はチューブ型装置において行うことも可能である。
[0061]本発明の代替実施形態においては、低温窒化シリコン堆積プロセスは、図5に示されるクラスタツール500のようなクラスタツールで行うことができる。クラスタツール500は、それに含まれるロボットのようなウエハハンドラ504を有する真空搬送チャンバ502を含んでいる。ロードロック又は一組のロードロック506は、ウエハをロボット504によってクラスタツール500の内外に移動させること可能にする真空ドアを通って搬送チャンバ502に結合している。アプライドマテリアルズ社のXgen単一ウエハ、冷却壁、抵抗ヒータを有する熱化学気相堆積リアクタのような窒化シリコン堆積リアクタ508が密封可能なドアによって搬送チャンバ502に結合されている。また、図5に示される水素ラジカル処理チャンバ500が密封可能なドアによって搬送チャンバ502に結合されている。水素ラジカル処理チャンバは、例えば、アプライドマテリアルズ社のアドバンストストリップパッシベーションプラス(ASP)チャンバのようなプラズマチャンバ、アプライドマテリアルズ社のリモートプラズマ窒化RPNチャンバのようなリモートチャンバ、又は“熱線”チャンバであり得る。典型的には、搬送チャンバ502は減圧で保持され、N2のような不活性周囲を含有する。このようにして、、ウエハを酸化周囲又は汚染物質に晒さずに、ウエハを第一チャンバ(例えば、窒化シリコン堆積チャンバ508)から第二チャンバ(例えば、水素ラジカル処理チャンバ)に、その逆にも搬送することができる。クラスタツール500は、窒化シリコン堆積リアクタ500の動作を制御する上記プロセッサ/コントローラ900、上記窒化シリコン膜を堆積するとともに上記水素ラジカルで窒化シリコン膜を処理する水素ラジカル処理チャンバ510を含むこともできる。
[0062]使用の際、図3Aに示されるウエハのようなウエハ又は基板は、ロボット504によってロードロック506からチャンバ本体502内に運ばれる。ウエハは、窒化シリコン堆積チャンバ508に搬送され、その間のドアを密封し、低堆積温度プロセスで窒化シリコン膜がその上に形成される。一旦その上に窒化シリコン膜が形成されると、ウエハは窒化シリコン堆積チャンバ508からロボット504によって取り出され、ロボット504によって水素ラジカル処理チャンバ510に運ばれる。その後、水素ラジカル処理チャンバ510と搬送チャンバ502間のドアは密封され、窒化シリコン膜が上記のように水素ラジカルに晒される。更に厚い窒化シリコン膜が所望される場合には、ウエハはチャンバ510から取り出すことができ、更に窒化シリコンを堆積するために窒化シリコン堆積チャンバ508に戻される。ウエハがもう一度窒化シリコン堆積チャンバ510から取り出され、水素ラジカル処理チャンバ510に戻され、もう一度水素ラジカルで処理される。ウエハは所望の厚さと品質の窒化シリコン膜が得られるまで連続して堆積チャンバ508と処理チャンバ510間を搬送することができる。一旦十分な厚さの窒化シリコン層が形成されると、ウエハはクラスタツール500から取り出される。
[0063]このように、低堆積温度で高品質の窒化シリコン膜を堆積及び処理するための方法及び装置を記載してきた。
図1は、本発明の窒化シリコン膜を形成する方法を示したフローチャートの図である。 図2は、本発明の実施形態の窒化シリコン膜を形成する方法を示したフローチャートの図である。 図3Aは、本発明の窒化シリコン膜から形成された側壁スペーサを有する半導体デバイスを形成する方法の断面図である。 図3Bは、本発明の窒化シリコン膜から形成された側壁スペーサを有する半導体デバイスを形成する方法の断面図である。 図3Cは、本発明の窒化シリコン膜から形成された側壁スペーサを有する半導体デバイスを形成する方法の断面図である。 図4は、本発明の窒化シリコン膜を形成するために使用し得る装置の図である。 図5は、本発明の窒化シリコンを形成するために使用し得るクラスタツールの図である。
符号の説明
300…基板、302…シリコン基板、304…ゲート誘電体層、306…ゲート電極、310…ソース/ドレイン先端又は拡張領域、312…窒化シリコン膜、314…側壁スペーサ、316…深いソース/ドレイン領域、400…リアクタ、404…抵抗加熱アセンブリ、405…軸、406…チャンバ本体、408…反応チャンバ、409…ライナ、412…リード線、414…ヒータチューブ、416…加熱ディスク、418…加熱コイル、420…セラミックスリーブ、422…ポケット、426…チャンバリッド、428…ブロッカープレート、430…フェイスプレート、434…挿入ポート、436…リフターアセンブリ、442…リフトピン、444…コンタクトリフトプレート、459…、500…クラスタツール、502…搬送チャンバ、504…ロボット、506…ロードロック、508…リアクタ、510…水素ラジカル処理チャンバ、801…リモートプラズマ発生器、802…マグネトロン、804…アイソレータ及びダミーロード、806…導波管、808…オートチューナ、810…アプリケータキャビティ、812…コンジット、814…ソース、900…プロセッサ/コントローラ。

Claims (45)

  1. 窒化シリコン膜を形成する方法であって、
    基板を550℃以下の温度に加熱するステップと、
    シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを熱分解して前記基板を550℃以下の温度に加熱しつつ前記基板上に窒化シリコン膜を形成させるステップと、
    前記窒化シリコン膜を水素ラジカルで処理するステップと、
    を含む、前記方法。
  2. 前記水素ラジカルが水素含有原料ガスのプラズマ分解によって形成される、請求項1記載の方法。
  3. 前記水素含有原料ガスがアンモニア(NH3)を含んでいる、請求項2記載の方法。
  4. 前記水素含有原料ガスが更に水素ガス(H2)を含んでいる、請求項3記載の方法。
  5. 前記水素含有原料ガスがH2を含んでいる、請求項2記載の方法。
  6. 窒素ガス(N2)、アルゴン(Ar)及びヘリウム(He)からなる群より選ばれた不活性ガスが前記水素含有原料ガスと共に供給される、請求項5記載の方法。
  7. 前記原料ガスの前記プラズマ分解が、前記窒化シリコン膜が水素ラジカルで処理される該チャンバから離れたチャンバ内で生じる、請求項2記載の方法。
  8. 前記窒化シリコン膜の前記水素ラジカルによる前記処理が100mTorr〜5Torrの圧力で生じる、請求項1記載の方法。
  9. 前記窒化シリコン膜の塩素濃度が、前記膜の処理前に1.0原子%より高く、前記膜の処理後に1.0原子%未満である、請求項1記載の方法。
  10. 前記窒化シリコン膜の炭素濃度が、前記膜の処理前に10原子%より高く、前記膜の処理後に5原子%未満である、請求項1記載の方法。
  11. 前記熱分解温度が500℃未満である、請求項1記載の方法。
  12. 前記窒化シリコン膜が毎分100オングストロームより速い堆積速度で形成される、請求項1記載の方法。
  13. 前記窒化シリコン膜が、前記水素ラジカルで処理する前に150オングストロームの厚さに形成される、請求項1記載の方法。
  14. 前記窒化シリコン膜の水素濃度が、前記水素ラジカル処理前に15原子%より高い、請求項1記載の方法。
  15. 前記シリコン含有原料ガス又は前記シリコン/窒素含有原料ガスが、第二シリコン原子に単結合で結合した第一シリコン原子、前記第一シリコン原子に結合した塩素(Cl)原子又は窒素(N)原子、前記第二シリコン原子に結合した塩素原子又は窒素原子を含んでいる、請求項1記載の方法。
  16. 前記シリコン含有原料ガス又は前記シリコン/窒素含有原料ガスが第二シリコン原子に単結合で結合した第一シリコン原子を含み、前記シリコン原子の各々のその他の3つの結合が窒素原子か又は塩素原子のいずれかに結合されている、請求項1記載の方法。
  17. 前記シリコン原料ガス又は前記シリコン/窒素原料ガスが、第二シリコン原子との単結合を有する第一シリコン原子に結合した窒素原子に結合した有機基を含んでいる、請求項1記載の方法。
  18. 前記シリコン含有原料ガス又は前記シリコン/窒素含有原料ガスが、塩素化又は非塩素化有機アミノ‐ジ又はモノ‐シランR2N‐Si(R’2)‐Six(R’2)y‐NR2(x=y=0又は1;R、R’=Cl又はメチル、又はエチル、又はイソプロピル、又は他のアルキル基、又は他のアルキルアミノ基、又はNを有する環式基、又はシリル基のあらゆる組合わせ)を含んでいる、請求項1記載の方法。
  19. 前記シリコン含有原料ガス又は前記シリコン/窒素含有原料ガスが1,2‐ジエチルテトラキス(ジエチルアミノ)ジシラン、1,2‐ジクロロテトラキス(ジエチルアミノ)ジシラン、及びヘキサキス(N‐ピロリジニオ)ジシランからなる群より選ばれる、請求項18記載の方法。
  20. 前記シリコン含有原料ガスがヘキサクロロジシラン(HCD)である、請求項1記載の方法。
  21. シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを熱分解することにより前記処理した窒化シリコン膜上に第二窒化シリコン膜を形成させるステップと、
    前記第二窒化シリコン膜を水素ラジカルで処理するステップと、
    を更に含む、請求項1記載の方法。
  22. シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを熱分解することにより前記処理した第二窒化シリコン膜上に第三窒化シリコン膜を形成させるステップと、
    前記第三窒化シリコン膜を水素ラジカルで処理するステップと、
    を更に含む、請求項21記載の方法。
  23. 前記窒化シリコン膜が5×1015原子/cm2‐1×1017原子/cm2のフラックスにおいて水素ラジカルで処理される、請求項1記載の方法。
  24. 前記窒化シリコン膜を前記水素ラジカルで処理しつつ該圧力が100mTorr‐5Torrである、請求項23記載の方法。
  25. 前記窒化シリコン膜を形成しつつ前記チャンバ内の該圧力が10‐350Torrである、請求項1記載の方法。
  26. 前記堆積した窒化シリコン膜が15‐120秒間処理される、請求項1記載の方法。
  27. 前記窒化シリコン堆積膜が450‐600℃の温度において水素ラジカルで処理される、請求項1記載の方法。
  28. 前記窒化シリコン膜を処理した後に、前記窒化シリコン膜の水素濃度が10原子%未満である、請求項14記載の方法。
  29. 前記窒化シリコン膜を水素ラジカルで処理する前に、前記窒化シリコン膜の屈折率が1.85未満である、請求項1記載の方法。
  30. 前記窒化シリコン膜を水素ラジカルで処理した後に、前記窒化シリコン膜の屈折率が1.90以上である、請求項29記載の方法。
  31. 前記窒化シリコン膜を水素ラジカルで処理する前に、前記窒化シリコン膜のエッチング速度が緩衝化酸化物エッチングを用いた酸化シリコンの2倍を超える、請求項1記載の方法。
  32. 前記窒化シリコン膜を水素ラジカルで処理した後に、前記窒化シリコン膜のエッチング速度が緩衝化酸化物エッチングを用いた酸化シリコンの該エッチング速度とほぼ同じである、請求項31記載の方法。
  33. 窒化シリコン膜を形成する方法であって、
    シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを550℃未満の温度で100オングストローム毎分より速い堆積速度で熱分解することにより窒化シリコン膜を150オングストローム未満の厚さまで堆積させるステップと、
    前記堆積した窒化シリコン膜を水素含有ガスのプラズマ分解によって形成された水素ラジカルで処理して処理した窒化シリコン膜を形成させるステップと、
    を含む、前記方法。
  34. 前記シリコン含有原料ガス又は前記シリコン/窒素含有原料ガスが有機ジ‐又はモノ‐シラン又は有機アミノ‐ジ又はモノ‐シランからなる群より選ばれる、請求項33記載の方法。
  35. 前記水素含有原料ガスがアンモニア(NH3)を含んでいる、請求項33記載の方法。
  36. 前記水素含有原料ガスが水素ガス(H2)を含んでいる、請求項33記載の方法。
  37. 前記水素含有原料ガスがアンモニア(NH3)と水素(H2)を含んでいる、請求項33記載の方法。
  38. 前記堆積した窒化シリコン膜が5×1015原子/cm2‐1×1017原子/cm2のフラックスにおいて水素ラジカルで処理される、請求項33記載の方法。
  39. 前記堆積した窒化シリコン膜を水素ラジカルで処理する前に、前記窒化シリコン膜の水素濃度が有意にはSi‐H形で15原子%より高く、前記堆積した窒化シリコン膜を水素ラジカルで処理した後に、前記処理した窒化シリコン膜の水素濃度が10%未満であり、Si‐H結合がかなり減少している、請求項33記載の方法。
  40. 前記プラズマ分解が、前記窒化シリコン膜が水素ラジカルで処理される前記チャンバから離れたチャンバ内で生じる、請求項33記載の方法。
  41. 前記窒化シリコン膜が、堆積させる同一チャンバ内で処理される、請求項33記載の方法。
  42. 窒化シリコン膜を形成する方法であって、
    シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを熱分解することによりシリコン膜を堆積させるステップであって、前記シリコン含有原料ガス又は前記シリコン/窒素含有原料ガスが塩素、炭素を含んでいる、前記ステップと、
    前記堆積した窒化シリコン膜を水素含有ガスのプラズマ分解により形成された水素ラジカルで処理して処理した窒化シリコン膜を形成させるステップと、
    を含む、前記方法。
  43. 窒化シリコン膜を形成する方法であって、
    シリコン/窒素含有原料ガス又はシリコン含有原料ガスと窒素含有原料ガスを熱分解することにより窒化シリコン膜を堆積させるステップであって、前記窒化シリコン膜を堆積した後に、前記窒化シリコン膜の水素濃度が15原子%より高く、炭素濃度が10原子%より高い、前記ステップと、
    前記堆積した窒化シリコン膜を、前記窒化シリコン膜の水素濃度が10原子%未満且つ炭素濃度が5原子%未満になるまで水素ラジカルで処理するステップと、
    を含む、前記方法。
  44. 前記窒化シリコン膜の塩素濃度が前記膜を処理する前に1.0原子%、前記膜を処理した後に1.0原子%未満である、請求項43記載の方法。
  45. 窒化シリコン膜を形成するための装置であって、
    基板を保持するためのチャンバ内に位置する基板支持体と、
    前記基板支持体上に載置された基板を加熱するためのヒータと、
    シリコン原料ガスと窒素原料ガス及び/又はシリコン/窒素原料ガスを含むプロセスガス混合物を前記チャンバへ供給するためのガス流入口と、
    水素含有ガスから水素ラジカルを生成させるための手段と、
    前記装置の動作を制御するためのプロセッサ/コントローラであって、前記プロセッサ/コントローラが、前記基板支持体上に載置された基板を550℃未満の温度に加熱するためと、シリコン含有原料ガスと窒素含有原料ガス又はシリコン/窒素含有原料ガスを前記基板を加熱しつつ前記チャンバへ供給するための複数の命令と、前記窒化シリコン膜を水素ラジカルで処理するために水素ラジカルを生成させる前記手段を制御するための命令と、を有するメモリを含んでいる、前記プロセッサ/コントローラと、
    を備えている、前記装置。
JP2004563816A 2002-12-20 2003-12-18 高品質の低温窒化シリコン膜を形成するための方法及び装置 Pending JP2006511946A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/327,467 US7172792B2 (en) 2002-12-20 2002-12-20 Method for forming a high quality low temperature silicon nitride film
PCT/US2003/040586 WO2004059707A2 (en) 2002-12-20 2003-12-18 A method and apparatus for forming a high quality low temperature silicon nitride film

Publications (1)

Publication Number Publication Date
JP2006511946A true JP2006511946A (ja) 2006-04-06

Family

ID=32594261

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004563816A Pending JP2006511946A (ja) 2002-12-20 2003-12-18 高品質の低温窒化シリコン膜を形成するための方法及び装置

Country Status (7)

Country Link
US (1) US7172792B2 (ja)
EP (1) EP1608792A2 (ja)
JP (1) JP2006511946A (ja)
KR (1) KR101020116B1 (ja)
CN (2) CN100529174C (ja)
AU (1) AU2003301123A1 (ja)
WO (1) WO2004059707A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2020155729A (ja) * 2019-03-22 2020-09-24 東京エレクトロン株式会社 処理装置及び処理方法
US11733464B2 (en) 2018-10-26 2023-08-22 Hamamatsu Photonics K.K. Fiber structure, pulse laser device, and supercontinuum light source

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
KR100576850B1 (ko) * 2003-10-28 2006-05-10 삼성전기주식회사 질화물 반도체 발광소자 제조방법
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US7109046B1 (en) * 2004-01-30 2006-09-19 Advanced Micro Devices, Inc. Surface oxide tabulation and photo process control and cost savings
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7151052B2 (en) * 2005-04-28 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple etch-stop layer deposition scheme and materials
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR100685742B1 (ko) * 2005-07-27 2007-02-22 삼성전자주식회사 불휘발성 메모리 장치 및 이의 제조 방법
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7531411B2 (en) * 2005-10-12 2009-05-12 Macronix International Co., Ltd. Apparatus and method for a non-volatile memory structure comprising a multi-layer silicon-rich, silicon nitride trapping layer
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
JP5149273B2 (ja) * 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080124855A1 (en) * 2006-11-05 2008-05-29 Johnny Widodo Modulation of Stress in ESL SiN Film through UV Curing to Enhance both PMOS and NMOS Transistor Performance
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
WO2011113177A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
CN101942649A (zh) * 2010-10-21 2011-01-12 韩山师范学院 一种实现低温构筑高密度纳米硅结构的方法
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
CN102412125B (zh) * 2011-04-29 2013-12-04 上海华力微电子有限公司 一种制造高拉应力氮化硅薄膜的方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TWI504555B (zh) * 2014-07-21 2015-10-21 Nanomaterial Innovation Ltd 一種形成奈米片狀結構網絡在基材上的製備方法及其應用
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102494614B1 (ko) * 2015-03-20 2023-02-02 어플라이드 머티어리얼스, 인코포레이티드 3d 형상추종성 처리를 위한 원자 층 프로세스 챔버
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
CN105609411A (zh) * 2016-02-26 2016-05-25 上海华力微电子有限公司 改善hcd氮化硅片均匀性的方法
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9876097B2 (en) 2016-06-14 2018-01-23 International Business Machines Corporation Selectively formed gate sidewall spacer
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10236207B2 (en) * 2016-06-20 2019-03-19 Applied Materials, Inc. Hydrogenation and nitridization processes for reducing oxygen content in a film
US10468412B2 (en) 2016-06-28 2019-11-05 International Business Machines Corporation Formation of a semiconductor device with selective nitride grown on conductor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9704754B1 (en) 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11017997B2 (en) 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11037780B2 (en) * 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
WO2019147462A1 (en) * 2018-01-26 2019-08-01 Applied Materials, Inc. Treatment methods for silicon nitride thin films
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11393674B2 (en) * 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN117660941A (zh) * 2018-07-24 2024-03-08 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
JP7431245B2 (ja) * 2019-01-24 2024-02-14 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素を堆積する方法
TW202111825A (zh) * 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
US11631583B2 (en) * 2019-10-25 2023-04-18 Applied Materials, Inc. RF power source operation in plasma enhanced processes
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613329A (ja) * 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH07273106A (ja) * 1994-03-30 1995-10-20 Sony Corp 絶縁膜の成膜方法
JPH10261658A (ja) * 1997-03-17 1998-09-29 Toyota Motor Corp 半導体装置の製造方法
JP2001258139A (ja) * 2000-03-09 2001-09-21 Mitsubishi Electric Corp 電気所の引留鉄構

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
US5264724A (en) * 1989-02-13 1993-11-23 The University Of Arkansas Silicon nitride for application as the gate dielectric in MOS devices
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
KR0180015B1 (ko) * 1990-09-11 1999-04-01 제이코버스 코넬리스 레이서 이지방 케톤 및 베타-케토에스테르의 양이 감소된 고도로 에스테르화된 폴리올 지방산 폴리에스테르의 개선된 제조방법
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
CN1101756A (zh) * 1993-10-14 1995-04-19 上海德佳科技综合公司 一种生产硅太阳电池的方法及相关的设备
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
WO1995018460A1 (en) * 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JP3571404B2 (ja) * 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
JP2757850B2 (ja) * 1996-04-18 1998-05-25 日本電気株式会社 薄膜トランジスタおよびその製造方法
JP3220645B2 (ja) * 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JP3320685B2 (ja) * 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
KR100854555B1 (ko) * 1999-07-08 2008-08-26 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
JP3406250B2 (ja) 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR100338125B1 (ko) * 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) * 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
JP2002231628A (ja) * 2001-02-01 2002-08-16 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6583046B1 (en) * 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
EP1584100A2 (en) 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP4474596B2 (ja) * 2003-08-29 2010-06-09 キヤノンアネルバ株式会社 シリコンナノ結晶構造体の形成方法及び形成装置
JP2005150637A (ja) * 2003-11-19 2005-06-09 Canon Inc 処理方法及び装置
JP4653949B2 (ja) * 2003-12-10 2011-03-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0613329A (ja) * 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH07273106A (ja) * 1994-03-30 1995-10-20 Sony Corp 絶縁膜の成膜方法
JPH10261658A (ja) * 1997-03-17 1998-09-29 Toyota Motor Corp 半導体装置の製造方法
JP2001258139A (ja) * 2000-03-09 2001-09-21 Mitsubishi Electric Corp 電気所の引留鉄構

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017139297A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US11733464B2 (en) 2018-10-26 2023-08-22 Hamamatsu Photonics K.K. Fiber structure, pulse laser device, and supercontinuum light source
JP2020155729A (ja) * 2019-03-22 2020-09-24 東京エレクトロン株式会社 処理装置及び処理方法
JP7278123B2 (ja) 2019-03-22 2023-05-19 東京エレクトロン株式会社 処理方法

Also Published As

Publication number Publication date
KR101020116B1 (ko) 2011-03-08
AU2003301123A1 (en) 2004-07-22
AU2003301123A8 (en) 2004-07-22
CN100529174C (zh) 2009-08-19
EP1608792A2 (en) 2005-12-28
CN1735710A (zh) 2006-02-15
US7172792B2 (en) 2007-02-06
WO2004059707A2 (en) 2004-07-15
KR20050085838A (ko) 2005-08-29
CN101597754A (zh) 2009-12-09
US20040121085A1 (en) 2004-06-24
WO2004059707A3 (en) 2004-09-02
CN101597754B (zh) 2012-04-04

Similar Documents

Publication Publication Date Title
JP2006511946A (ja) 高品質の低温窒化シリコン膜を形成するための方法及び装置
JP4658963B2 (ja) 高品質低温窒化シリコン層を形成する方法及び装置
JP5455622B2 (ja) 電界効果トランジスタのゲート誘電体の製造方法
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
US6544900B2 (en) In situ dielectric stacks
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
CN101572232B (zh) 形成高质量的低温氮化硅层的方法
US6884464B2 (en) Methods for forming silicon comprising films using hexachlorodisilane in a single-wafer deposion chamber
KR101022949B1 (ko) 고품질 저온 실리콘질화물층 형성 방법 및 장치
JP4979578B2 (ja) 単一ウエハチャンバを用いたナノ結晶シリコンの堆積
JP2000311893A (ja) 原子ガスから材料層を形成する方法と装置
JP2636817B2 (ja) 枚葉式薄膜形成法および薄膜形成装置
KR101548129B1 (ko) 증착 챔버 내에서 산화로부터의 도전체 보호
WO2022066419A1 (en) Nitride capping of titanium material to improve barrier properties
JP2803556B2 (ja) バリアメタル層の形成方法
JP2003023006A (ja) 絶縁膜形成方法、絶縁膜形成装置及び半導体装置
JPH07235530A (ja) 絶縁膜の形成方法
JP2003055767A (ja) 金属シリサイド膜の成膜方法
JPS5861626A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061115

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090609

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100720