JP2004310019A - 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 - Google Patents
反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 Download PDFInfo
- Publication number
- JP2004310019A JP2004310019A JP2003369596A JP2003369596A JP2004310019A JP 2004310019 A JP2004310019 A JP 2004310019A JP 2003369596 A JP2003369596 A JP 2003369596A JP 2003369596 A JP2003369596 A JP 2003369596A JP 2004310019 A JP2004310019 A JP 2004310019A
- Authority
- JP
- Japan
- Prior art keywords
- group
- film
- substrate
- pattern
- photoresist film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000463 material Substances 0.000 title claims abstract description 110
- 239000000758 substrate Substances 0.000 title claims abstract description 94
- 238000000034 method Methods 0.000 title claims description 50
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 119
- 238000005530 etching Methods 0.000 claims abstract description 76
- 229920000642 polymer Polymers 0.000 claims abstract description 72
- 150000001875 compounds Chemical class 0.000 claims abstract description 49
- 238000001459 lithography Methods 0.000 claims abstract description 42
- 238000007334 copolymerization reaction Methods 0.000 claims abstract description 39
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims description 45
- 239000002253 acid Substances 0.000 claims description 40
- 125000004432 carbon atom Chemical group C* 0.000 claims description 34
- 239000011248 coating agent Substances 0.000 claims description 28
- 238000000576 coating method Methods 0.000 claims description 28
- 125000000217 alkyl group Chemical group 0.000 claims description 21
- 125000003118 aryl group Chemical group 0.000 claims description 20
- 238000004132 cross linking Methods 0.000 claims description 20
- 239000006117 anti-reflective coating Substances 0.000 claims description 19
- 239000003960 organic solvent Substances 0.000 claims description 18
- 125000000962 organic group Chemical group 0.000 claims description 17
- 239000003431 cross linking reagent Substances 0.000 claims description 11
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 9
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 9
- 150000002148 esters Chemical class 0.000 claims description 8
- 125000000524 functional group Chemical group 0.000 claims description 6
- 150000002596 lactones Chemical class 0.000 claims description 6
- 150000001408 amides Chemical class 0.000 claims description 5
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 claims description 5
- 229910008045 Si-Si Inorganic materials 0.000 claims description 4
- 229910006411 Si—Si Inorganic materials 0.000 claims description 4
- 150000002825 nitriles Chemical class 0.000 claims description 4
- 239000012044 organic layer Substances 0.000 claims description 3
- 230000000694 effects Effects 0.000 abstract description 18
- -1 perfluoro Chemical group 0.000 description 142
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 42
- 239000010410 layer Substances 0.000 description 41
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 25
- 239000000243 solution Substances 0.000 description 25
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 21
- 238000006243 chemical reaction Methods 0.000 description 20
- 239000007789 gas Substances 0.000 description 18
- 238000005227 gel permeation chromatography Methods 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 17
- 239000000203 mixture Substances 0.000 description 15
- 238000003786 synthesis reaction Methods 0.000 description 15
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 15
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical group OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 14
- 230000000052 comparative effect Effects 0.000 description 13
- 239000006096 absorbing agent Substances 0.000 description 12
- 239000002585 base Substances 0.000 description 12
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 12
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 12
- 238000006482 condensation reaction Methods 0.000 description 11
- 239000007788 liquid Substances 0.000 description 11
- 229920005989 resin Polymers 0.000 description 10
- 239000011347 resin Substances 0.000 description 10
- SCPYDCQAZCOKTP-UHFFFAOYSA-N silanol Chemical compound [SiH3]O SCPYDCQAZCOKTP-UHFFFAOYSA-N 0.000 description 10
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 10
- 238000001644 13C nuclear magnetic resonance spectroscopy Methods 0.000 description 9
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 9
- 239000004793 Polystyrene Substances 0.000 description 9
- 229910004298 SiO 2 Inorganic materials 0.000 description 9
- 238000001312 dry etching Methods 0.000 description 9
- 125000003700 epoxy group Chemical group 0.000 description 9
- DQYBDCGIPTYXML-UHFFFAOYSA-N ethoxyethane;hydrate Chemical compound O.CCOCC DQYBDCGIPTYXML-UHFFFAOYSA-N 0.000 description 9
- 238000010438 heat treatment Methods 0.000 description 9
- 229920002223 polystyrene Polymers 0.000 description 9
- 238000007142 ring opening reaction Methods 0.000 description 9
- 229910021642 ultra pure water Inorganic materials 0.000 description 9
- 239000012498 ultrapure water Substances 0.000 description 9
- 125000003545 alkoxy group Chemical group 0.000 description 8
- QWVGKYWNOKOFNN-UHFFFAOYSA-N o-cresol Chemical compound CC1=CC=CC=C1O QWVGKYWNOKOFNN-UHFFFAOYSA-N 0.000 description 8
- 230000008859 change Effects 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- ZNOCGWVLWPVKAO-UHFFFAOYSA-N trimethoxy(phenyl)silane Chemical compound CO[Si](OC)(OC)C1=CC=CC=C1 ZNOCGWVLWPVKAO-UHFFFAOYSA-N 0.000 description 7
- YXHKONLOYHBTNS-UHFFFAOYSA-N Diazomethane Chemical class C=[N+]=[N-] YXHKONLOYHBTNS-UHFFFAOYSA-N 0.000 description 6
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 6
- BAPJBEWLBFYGME-UHFFFAOYSA-N Methyl acrylate Chemical compound COC(=O)C=C BAPJBEWLBFYGME-UHFFFAOYSA-N 0.000 description 6
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- XSQUKJJJFZCRTK-UHFFFAOYSA-N Urea Natural products NC(N)=O XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 6
- 125000003342 alkenyl group Chemical group 0.000 description 6
- 125000002947 alkylene group Chemical group 0.000 description 6
- 125000003710 aryl alkyl group Chemical group 0.000 description 6
- 238000009792 diffusion process Methods 0.000 description 6
- 229910017604 nitric acid Inorganic materials 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 238000004528 spin coating Methods 0.000 description 6
- JOXIMZWYDAKGHI-UHFFFAOYSA-N toluene-4-sulfonic acid Chemical compound CC1=CC=C(S(O)(=O)=O)C=C1 JOXIMZWYDAKGHI-UHFFFAOYSA-N 0.000 description 6
- 239000004593 Epoxy Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 5
- 239000007983 Tris buffer Substances 0.000 description 5
- 239000000654 additive Substances 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 238000011161 development Methods 0.000 description 5
- 230000018109 developmental process Effects 0.000 description 5
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 5
- 238000002156 mixing Methods 0.000 description 5
- 125000001624 naphthyl group Chemical group 0.000 description 5
- 150000003839 salts Chemical class 0.000 description 5
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 5
- BPSIOYPQMFLKFR-UHFFFAOYSA-N trimethoxy-[3-(oxiran-2-ylmethoxy)propyl]silane Chemical compound CO[Si](OC)(OC)CCCOCC1CO1 BPSIOYPQMFLKFR-UHFFFAOYSA-N 0.000 description 5
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 4
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 4
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 4
- 239000004202 carbamide Substances 0.000 description 4
- 239000003054 catalyst Substances 0.000 description 4
- 125000006165 cyclic alkyl group Chemical group 0.000 description 4
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 4
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 4
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 description 4
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 4
- 230000008569 process Effects 0.000 description 4
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 4
- GHMLBKRAJCXXBS-UHFFFAOYSA-N resorcinol Chemical compound OC1=CC=CC(O)=C1 GHMLBKRAJCXXBS-UHFFFAOYSA-N 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 150000003459 sulfonic acid esters Chemical class 0.000 description 4
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- LJHFIVQEAFAURQ-ZPUQHVIOSA-N (NE)-N-[(2E)-2-hydroxyiminoethylidene]hydroxylamine Chemical class O\N=C\C=N\O LJHFIVQEAFAURQ-ZPUQHVIOSA-N 0.000 description 3
- UUGLSEIATNSHRI-UHFFFAOYSA-N 1,3,4,6-tetrakis(hydroxymethyl)-3a,6a-dihydroimidazo[4,5-d]imidazole-2,5-dione Chemical compound OCN1C(=O)N(CO)C2C1N(CO)C(=O)N2CO UUGLSEIATNSHRI-UHFFFAOYSA-N 0.000 description 3
- JOLQKTGDSGKSKJ-UHFFFAOYSA-N 1-ethoxypropan-2-ol Chemical compound CCOCC(C)O JOLQKTGDSGKSKJ-UHFFFAOYSA-N 0.000 description 3
- FZTPAOAMKBXNSH-UHFFFAOYSA-N 3-trimethoxysilylpropyl acetate Chemical compound CO[Si](OC)(OC)CCCOC(C)=O FZTPAOAMKBXNSH-UHFFFAOYSA-N 0.000 description 3
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- 229920000877 Melamine resin Polymers 0.000 description 3
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 3
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 3
- RWRDLPDLKQPQOW-UHFFFAOYSA-N Pyrrolidine Chemical compound C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- YGCOKJWKWLYHTG-UHFFFAOYSA-N [[4,6-bis[bis(hydroxymethyl)amino]-1,3,5-triazin-2-yl]-(hydroxymethyl)amino]methanol Chemical compound OCN(CO)C1=NC(N(CO)CO)=NC(N(CO)CO)=N1 YGCOKJWKWLYHTG-UHFFFAOYSA-N 0.000 description 3
- 235000011054 acetic acid Nutrition 0.000 description 3
- 125000002777 acetyl group Chemical group [H]C([H])([H])C(*)=O 0.000 description 3
- 230000000996 additive effect Effects 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 230000008033 biological extinction Effects 0.000 description 3
- FQUNFJULCYSSOP-UHFFFAOYSA-N bisoctrizole Chemical compound N1=C2C=CC=CC2=NN1C1=CC(C(C)(C)CC(C)(C)C)=CC(CC=2C(=C(C=C(C=2)C(C)(C)CC(C)(C)C)N2N=C3C=CC=CC3=N2)O)=C1O FQUNFJULCYSSOP-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 3
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 3
- SBZXBUIDTXKZTM-UHFFFAOYSA-N diglyme Chemical compound COCCOCCOC SBZXBUIDTXKZTM-UHFFFAOYSA-N 0.000 description 3
- 125000000816 ethylene group Chemical group [H]C([H])([*:1])C([H])([H])[*:2] 0.000 description 3
- 125000003187 heptyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 125000001072 heteroaryl group Chemical group 0.000 description 3
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 3
- 238000006460 hydrolysis reaction Methods 0.000 description 3
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 239000000178 monomer Substances 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 3
- IZJVVXCHJIQVOL-UHFFFAOYSA-N nitro(phenyl)methanesulfonic acid Chemical class OS(=O)(=O)C([N+]([O-])=O)C1=CC=CC=C1 IZJVVXCHJIQVOL-UHFFFAOYSA-N 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 125000004433 nitrogen atom Chemical group N* 0.000 description 3
- 229920003986 novolac Polymers 0.000 description 3
- 230000000269 nucleophilic effect Effects 0.000 description 3
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 3
- 238000006116 polymerization reaction Methods 0.000 description 3
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 3
- 125000001424 substituent group Chemical group 0.000 description 3
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 3
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 description 3
- 229920002818 (Hydroxyethyl)methacrylate Polymers 0.000 description 2
- JGTNAGYHADQMCM-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F JGTNAGYHADQMCM-UHFFFAOYSA-M 0.000 description 2
- AKTDWFLTNDPLCH-UHFFFAOYSA-N 1,1,3,3-tetrakis(hydroxymethyl)urea Chemical compound OCN(CO)C(=O)N(CO)CO AKTDWFLTNDPLCH-UHFFFAOYSA-N 0.000 description 2
- LEEANUDEDHYDTG-UHFFFAOYSA-N 1,2-dimethoxypropane Chemical compound COCC(C)OC LEEANUDEDHYDTG-UHFFFAOYSA-N 0.000 description 2
- MWZJGRDWJVHRDV-UHFFFAOYSA-N 1,4-bis(ethenoxy)butane Chemical compound C=COCCCCOC=C MWZJGRDWJVHRDV-UHFFFAOYSA-N 0.000 description 2
- JEIHSRORUWXJGF-UHFFFAOYSA-N 1-[(2-methylpropan-2-yl)oxy]propan-2-yl acetate Chemical compound CC(=O)OC(C)COC(C)(C)C JEIHSRORUWXJGF-UHFFFAOYSA-N 0.000 description 2
- WNQSKPOIYILBMI-UHFFFAOYSA-N 1-[butylsulfonyl(diazo)methyl]sulfonylbutane Chemical compound CCCCS(=O)(=O)C(=[N+]=[N-])S(=O)(=O)CCCC WNQSKPOIYILBMI-UHFFFAOYSA-N 0.000 description 2
- GLYOFBNLYMTEPS-UHFFFAOYSA-N 1-[diazo(2-methylpropylsulfonyl)methyl]sulfonyl-2-methylpropane Chemical compound CC(C)CS(=O)(=O)C(=[N+]=[N-])S(=O)(=O)CC(C)C GLYOFBNLYMTEPS-UHFFFAOYSA-N 0.000 description 2
- GYQQFWWMZYBCIB-UHFFFAOYSA-N 1-[diazo-(4-methylphenyl)sulfonylmethyl]sulfonyl-4-methylbenzene Chemical compound C1=CC(C)=CC=C1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=C(C)C=C1 GYQQFWWMZYBCIB-UHFFFAOYSA-N 0.000 description 2
- CZAVRNDQSIORTH-UHFFFAOYSA-N 1-ethenoxy-2,2-bis(ethenoxymethyl)butane Chemical compound C=COCC(CC)(COC=C)COC=C CZAVRNDQSIORTH-UHFFFAOYSA-N 0.000 description 2
- LIPRQQHINVWJCH-UHFFFAOYSA-N 1-ethoxypropan-2-yl acetate Chemical compound CCOCC(C)OC(C)=O LIPRQQHINVWJCH-UHFFFAOYSA-N 0.000 description 2
- HPYNZHMRTTWQTB-UHFFFAOYSA-N 2,3-dimethylpyridine Chemical compound CC1=CC=CN=C1C HPYNZHMRTTWQTB-UHFFFAOYSA-N 0.000 description 2
- XNWFRZJHXBZDAG-UHFFFAOYSA-N 2-METHOXYETHANOL Chemical compound COCCO XNWFRZJHXBZDAG-UHFFFAOYSA-N 0.000 description 2
- KKOOSMDBEULUDH-UHFFFAOYSA-N 2-[butan-2-ylsulfonyl(diazo)methyl]sulfonylbutane Chemical compound CCC(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C(C)CC KKOOSMDBEULUDH-UHFFFAOYSA-N 0.000 description 2
- DRYBUHKBBRHEAE-UHFFFAOYSA-N 2-[diazo(propan-2-ylsulfonyl)methyl]sulfonylpropane Chemical compound CC(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C(C)C DRYBUHKBBRHEAE-UHFFFAOYSA-N 0.000 description 2
- SAFWZKVQMVOANB-UHFFFAOYSA-N 2-[tert-butylsulfonyl(diazo)methyl]sulfonyl-2-methylpropane Chemical compound CC(C)(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C(C)(C)C SAFWZKVQMVOANB-UHFFFAOYSA-N 0.000 description 2
- ZNQVEEAIQZEUHB-UHFFFAOYSA-N 2-ethoxyethanol Chemical compound CCOCCO ZNQVEEAIQZEUHB-UHFFFAOYSA-N 0.000 description 2
- 125000000094 2-phenylethyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])C([H])([H])* 0.000 description 2
- VQGHOUODWALEFC-UHFFFAOYSA-N 2-phenylpyridine Chemical compound C1=CC=CC=C1C1=CC=CC=N1 VQGHOUODWALEFC-UHFFFAOYSA-N 0.000 description 2
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 2
- 125000004172 4-methoxyphenyl group Chemical group [H]C1=C([H])C(OC([H])([H])[H])=C([H])C([H])=C1* 0.000 description 2
- YXZXRYDYTRYFAF-UHFFFAOYSA-M 4-methylbenzenesulfonate;triphenylsulfanium Chemical compound CC1=CC=C(S([O-])(=O)=O)C=C1.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 YXZXRYDYTRYFAF-UHFFFAOYSA-M 0.000 description 2
- XLSZMDLNRCVEIJ-UHFFFAOYSA-N 4-methylimidazole Chemical compound CC1=CNC=N1 XLSZMDLNRCVEIJ-UHFFFAOYSA-N 0.000 description 2
- 125000000590 4-methylphenyl group Chemical group [H]C1=C([H])C(=C([H])C([H])=C1*)C([H])([H])[H] 0.000 description 2
- 229930185605 Bisphenol Natural products 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- DKPFZGUDAPQIHT-UHFFFAOYSA-N Butyl acetate Natural products CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- FBPFZTCFMRRESA-FSIIMWSLSA-N D-Glucitol Natural products OC[C@H](O)[C@H](O)[C@@H](O)[C@H](O)CO FBPFZTCFMRRESA-FSIIMWSLSA-N 0.000 description 2
- FBPFZTCFMRRESA-JGWLITMVSA-N D-glucitol Chemical compound OC[C@H](O)[C@@H](O)[C@H](O)[C@H](O)CO FBPFZTCFMRRESA-JGWLITMVSA-N 0.000 description 2
- XXRCUYVCPSWGCC-UHFFFAOYSA-N Ethyl pyruvate Chemical compound CCOC(=O)C(C)=O XXRCUYVCPSWGCC-UHFFFAOYSA-N 0.000 description 2
- VZCYOOQTPOCHFL-OWOJBTEDSA-N Fumaric acid Chemical compound OC(=O)\C=C\C(O)=O VZCYOOQTPOCHFL-OWOJBTEDSA-N 0.000 description 2
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 2
- CSNNHWWHGAXBCP-UHFFFAOYSA-L Magnesium sulfate Chemical compound [Mg+2].[O-][S+2]([O-])([O-])[O-] CSNNHWWHGAXBCP-UHFFFAOYSA-L 0.000 description 2
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- SMWDFEZZVXVKRB-UHFFFAOYSA-N Quinoline Chemical compound N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 2
- 229910008938 W—Si Inorganic materials 0.000 description 2
- MBHRHUJRKGNOKX-UHFFFAOYSA-N [(4,6-diamino-1,3,5-triazin-2-yl)amino]methanol Chemical compound NC1=NC(N)=NC(NCO)=N1 MBHRHUJRKGNOKX-UHFFFAOYSA-N 0.000 description 2
- HKKMPPDCCCBZHM-UHFFFAOYSA-M [4-[(2-methylpropan-2-yl)oxy]phenyl]-diphenylsulfanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC(OC(C)(C)C)=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 HKKMPPDCCCBZHM-UHFFFAOYSA-M 0.000 description 2
- QFKJMDYQKVPGNM-UHFFFAOYSA-N [benzenesulfonyl(diazo)methyl]sulfonylbenzene Chemical compound C=1C=CC=CC=1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1=CC=CC=C1 QFKJMDYQKVPGNM-UHFFFAOYSA-N 0.000 description 2
- GLGXSTXZLFQYKJ-UHFFFAOYSA-N [cyclohexylsulfonyl(diazo)methyl]sulfonylcyclohexane Chemical compound C1CCCCC1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1CCCCC1 GLGXSTXZLFQYKJ-UHFFFAOYSA-N 0.000 description 2
- 125000001539 acetonyl group Chemical group [H]C([H])([H])C(=O)C([H])([H])* 0.000 description 2
- 125000005595 acetylacetonate group Chemical group 0.000 description 2
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 2
- 125000005073 adamantyl group Chemical group C12(CC3CC(CC(C1)C3)C2)* 0.000 description 2
- 239000003513 alkali Substances 0.000 description 2
- 125000004450 alkenylene group Chemical group 0.000 description 2
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 2
- 125000005036 alkoxyphenyl group Chemical group 0.000 description 2
- 125000005037 alkyl phenyl group Chemical group 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- HOPRXXXSABQWAV-UHFFFAOYSA-N anhydrous collidine Natural products CC1=CC=NC(C)=C1C HOPRXXXSABQWAV-UHFFFAOYSA-N 0.000 description 2
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 description 2
- 230000003667 anti-reflective effect Effects 0.000 description 2
- 125000000732 arylene group Chemical group 0.000 description 2
- 125000005355 arylox oalkyl group Chemical group 0.000 description 2
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 2
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 2
- 239000011230 binding agent Substances 0.000 description 2
- IISBACLAFKSPIT-UHFFFAOYSA-N bisphenol A Chemical compound C=1C=C(O)C=CC=1C(C)(C)C1=CC=C(O)C=C1 IISBACLAFKSPIT-UHFFFAOYSA-N 0.000 description 2
- PXKLMJQFEQBVLD-UHFFFAOYSA-N bisphenol F Chemical compound C1=CC(O)=CC=C1CC1=CC=C(O)C=C1 PXKLMJQFEQBVLD-UHFFFAOYSA-N 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- YCIMNLLNPGFGHC-UHFFFAOYSA-N catechol Chemical compound OC1=CC=CC=C1O YCIMNLLNPGFGHC-UHFFFAOYSA-N 0.000 description 2
- KYKAJFCTULSVSH-UHFFFAOYSA-N chloro(fluoro)methane Chemical compound F[C]Cl KYKAJFCTULSVSH-UHFFFAOYSA-N 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 2
- WVIIMZNLDWSIRH-UHFFFAOYSA-N cyclohexylcyclohexane Chemical group C1CCCCC1C1CCCCC1 WVIIMZNLDWSIRH-UHFFFAOYSA-N 0.000 description 2
- 125000004210 cyclohexylmethyl group Chemical group [H]C([H])(*)C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C1([H])[H] 0.000 description 2
- 125000004186 cyclopropylmethyl group Chemical group [H]C([H])(*)C1([H])C([H])([H])C1([H])[H] 0.000 description 2
- 230000018044 dehydration Effects 0.000 description 2
- 238000006297 dehydration reaction Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 description 2
- 125000001033 ether group Chemical group 0.000 description 2
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 2
- FKRCODPIKNYEAC-UHFFFAOYSA-N ethyl propionate Chemical compound CCOC(=O)CC FKRCODPIKNYEAC-UHFFFAOYSA-N 0.000 description 2
- 229940117360 ethyl pyruvate Drugs 0.000 description 2
- 125000000219 ethylidene group Chemical group [H]C(=[*])C([H])([H])[H] 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 125000004836 hexamethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[*:1] 0.000 description 2
- FUZZWVXGSFPDMH-UHFFFAOYSA-M hexanoate Chemical compound CCCCCC([O-])=O FUZZWVXGSFPDMH-UHFFFAOYSA-M 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 239000012948 isocyanate Substances 0.000 description 2
- 125000000040 m-tolyl group Chemical group [H]C1=C([H])C(*)=C([H])C(=C1[H])C([H])([H])[H] 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229940098779 methanesulfonic acid Drugs 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 2
- 238000006198 methoxylation reaction Methods 0.000 description 2
- 125000004184 methoxymethyl group Chemical group [H]C([H])([H])OC([H])([H])* 0.000 description 2
- BDJSOPWXYLFTNW-UHFFFAOYSA-N methyl 3-methoxypropanoate Chemical compound COCCC(=O)OC BDJSOPWXYLFTNW-UHFFFAOYSA-N 0.000 description 2
- 125000002868 norbornyl group Chemical group C12(CCC(CC1)C2)* 0.000 description 2
- 125000003261 o-tolyl group Chemical group [H]C1=C([H])C(*)=C(C([H])=C1[H])C([H])([H])[H] 0.000 description 2
- 125000005188 oxoalkyl group Chemical group 0.000 description 2
- IWDCLRJOBJJRNH-UHFFFAOYSA-N p-cresol Chemical compound CC1=CC=C(O)C=C1 IWDCLRJOBJJRNH-UHFFFAOYSA-N 0.000 description 2
- XNGIFLGASWRNHJ-UHFFFAOYSA-N phthalic acid Chemical compound OC(=O)C1=CC=CC=C1C(O)=O XNGIFLGASWRNHJ-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 2
- 230000007261 regionalization Effects 0.000 description 2
- YGSDEFSMJLZEOE-UHFFFAOYSA-N salicylic acid Chemical compound OC(=O)C1=CC=CC=C1O YGSDEFSMJLZEOE-UHFFFAOYSA-N 0.000 description 2
- 238000004904 shortening Methods 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229920005573 silicon-containing polymer Polymers 0.000 description 2
- 239000000600 sorbitol Substances 0.000 description 2
- RWSOTUBLDIXVET-UHFFFAOYSA-O sulfonium Chemical compound [SH3+] RWSOTUBLDIXVET-UHFFFAOYSA-O 0.000 description 2
- JAELLLITIZHOGQ-UHFFFAOYSA-N tert-butyl propanoate Chemical compound CCC(=O)OC(C)(C)C JAELLLITIZHOGQ-UHFFFAOYSA-N 0.000 description 2
- JXUKBNICSRJFAP-UHFFFAOYSA-N triethoxy-[3-(oxiran-2-ylmethoxy)propyl]silane Chemical compound CCO[Si](OCC)(OCC)CCCOCC1CO1 JXUKBNICSRJFAP-UHFFFAOYSA-N 0.000 description 2
- ITMCEJHCFYSIIV-UHFFFAOYSA-N triflic acid Chemical compound OS(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-N 0.000 description 2
- TUODWSVQODNTSU-UHFFFAOYSA-M trifluoromethanesulfonate;tris[4-[(2-methylpropan-2-yl)oxy]phenyl]sulfanium Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC(OC(C)(C)C)=CC=C1[S+](C=1C=CC(OC(C)(C)C)=CC=1)C1=CC=C(OC(C)(C)C)C=C1 TUODWSVQODNTSU-UHFFFAOYSA-M 0.000 description 2
- DQZNLOXENNXVAD-UHFFFAOYSA-N trimethoxy-[2-(7-oxabicyclo[4.1.0]heptan-4-yl)ethyl]silane Chemical compound C1C(CC[Si](OC)(OC)OC)CCC2OC21 DQZNLOXENNXVAD-UHFFFAOYSA-N 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 2
- GCIYMCNGLUNWNR-UHFFFAOYSA-N (2,4-dinitrophenyl)methyl 4-methylbenzenesulfonate Chemical compound C1=CC(C)=CC=C1S(=O)(=O)OCC1=CC=C([N+]([O-])=O)C=C1[N+]([O-])=O GCIYMCNGLUNWNR-UHFFFAOYSA-N 0.000 description 1
- MCJPJAJHPRCILL-UHFFFAOYSA-N (2,6-dinitrophenyl)methyl 4-methylbenzenesulfonate Chemical compound C1=CC(C)=CC=C1S(=O)(=O)OCC1=C([N+]([O-])=O)C=CC=C1[N+]([O-])=O MCJPJAJHPRCILL-UHFFFAOYSA-N 0.000 description 1
- GLPNNMNPIIXAIN-UHFFFAOYSA-N (7,7-dimethyl-3-oxo-4-bicyclo[2.2.1]heptanyl)methanesulfonate;pyridin-1-ium Chemical compound C1=CC=[NH+]C=C1.C1CC2(CS([O-])(=O)=O)C(=O)CC1C2(C)C GLPNNMNPIIXAIN-UHFFFAOYSA-N 0.000 description 1
- OYHQOLUKZRVURQ-NTGFUMLPSA-N (9Z,12Z)-9,10,12,13-tetratritiooctadeca-9,12-dienoic acid Chemical compound C(CCCCCCC\C(=C(/C\C(=C(/CCCCC)\[3H])\[3H])\[3H])\[3H])(=O)O OYHQOLUKZRVURQ-NTGFUMLPSA-N 0.000 description 1
- WRIDQFICGBMAFQ-UHFFFAOYSA-N (E)-8-Octadecenoic acid Natural products CCCCCCCCCC=CCCCCCCC(O)=O WRIDQFICGBMAFQ-UHFFFAOYSA-N 0.000 description 1
- UJPMYEOUBPIPHQ-UHFFFAOYSA-N 1,1,1-trifluoroethane Chemical compound CC(F)(F)F UJPMYEOUBPIPHQ-UHFFFAOYSA-N 0.000 description 1
- JSRHBBHTTASSTP-UHFFFAOYSA-N 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;pyridin-1-ium Chemical compound C1=CC=[NH+]C=C1.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F JSRHBBHTTASSTP-UHFFFAOYSA-N 0.000 description 1
- CTSUDZFWVQIOHC-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;tetramethylazanium Chemical compound C[N+](C)(C)C.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F CTSUDZFWVQIOHC-UHFFFAOYSA-M 0.000 description 1
- SKNMGBXUEPRKKA-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;tetraphenylazanium Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC=CC=C1[N+](C=1C=CC=CC=1)(C=1C=CC=CC=1)C1=CC=CC=C1 SKNMGBXUEPRKKA-UHFFFAOYSA-M 0.000 description 1
- CKXILIWMLCYNIX-UHFFFAOYSA-N 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;triethylazanium Chemical compound CC[NH+](CC)CC.[O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F CKXILIWMLCYNIX-UHFFFAOYSA-N 0.000 description 1
- VLLPVDKADBYKLM-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,4-nonafluorobutane-1-sulfonate;triphenylsulfanium Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 VLLPVDKADBYKLM-UHFFFAOYSA-M 0.000 description 1
- GPHWXFINOWXMDN-UHFFFAOYSA-N 1,1-bis(ethenoxy)hexane Chemical compound CCCCCC(OC=C)OC=C GPHWXFINOWXMDN-UHFFFAOYSA-N 0.000 description 1
- 150000005045 1,10-phenanthrolines Chemical class 0.000 description 1
- OIXGILBUABDWRY-UHFFFAOYSA-N 1,2,3,4,4a,5,6,7,8,8a-decahydronaphthalene-2,6-diol Chemical compound C1C(O)CCC2CC(O)CCC21 OIXGILBUABDWRY-UHFFFAOYSA-N 0.000 description 1
- ZWVMLYRJXORSEP-UHFFFAOYSA-N 1,2,6-Hexanetriol Chemical compound OCCCCC(O)CO ZWVMLYRJXORSEP-UHFFFAOYSA-N 0.000 description 1
- CYIGRWUIQAVBFG-UHFFFAOYSA-N 1,2-bis(2-ethenoxyethoxy)ethane Chemical compound C=COCCOCCOCCOC=C CYIGRWUIQAVBFG-UHFFFAOYSA-N 0.000 description 1
- ZXHDVRATSGZISC-UHFFFAOYSA-N 1,2-bis(ethenoxy)ethane Chemical compound C=COCCOC=C ZXHDVRATSGZISC-UHFFFAOYSA-N 0.000 description 1
- LXSVCBDMOGLGFA-UHFFFAOYSA-N 1,2-bis(ethenoxy)propane Chemical compound C=COC(C)COC=C LXSVCBDMOGLGFA-UHFFFAOYSA-N 0.000 description 1
- 125000002030 1,2-phenylene group Chemical group [H]C1=C([H])C([*:1])=C([*:2])C([H])=C1[H] 0.000 description 1
- GXQDWDBEBPVVPE-UHFFFAOYSA-N 1,3,4,5,6-pentafluorocyclohexa-2,4-diene-1-sulfonic acid Chemical compound OS(=O)(=O)C1(F)C=C(F)C(F)=C(F)C1F GXQDWDBEBPVVPE-UHFFFAOYSA-N 0.000 description 1
- XGQJGMGAMHFMAO-UHFFFAOYSA-N 1,3,4,6-tetrakis(methoxymethyl)-3a,6a-dihydroimidazo[4,5-d]imidazole-2,5-dione Chemical compound COCN1C(=O)N(COC)C2C1N(COC)C(=O)N2COC XGQJGMGAMHFMAO-UHFFFAOYSA-N 0.000 description 1
- OUPZKGBUJRBPGC-UHFFFAOYSA-N 1,3,5-tris(oxiran-2-ylmethyl)-1,3,5-triazinane-2,4,6-trione Chemical compound O=C1N(CC2OC2)C(=O)N(CC2OC2)C(=O)N1CC1CO1 OUPZKGBUJRBPGC-UHFFFAOYSA-N 0.000 description 1
- XDWRKTULOHXYGN-UHFFFAOYSA-N 1,3-bis(ethenoxy)-2,2-bis(ethenoxymethyl)propane Chemical compound C=COCC(COC=C)(COC=C)COC=C XDWRKTULOHXYGN-UHFFFAOYSA-N 0.000 description 1
- AITKNDQVEUUYHE-UHFFFAOYSA-N 1,3-bis(ethenoxy)-2,2-dimethylpropane Chemical compound C=COCC(C)(C)COC=C AITKNDQVEUUYHE-UHFFFAOYSA-N 0.000 description 1
- 125000005838 1,3-cyclopentylene group Chemical group [H]C1([H])C([H])([H])C([H])([*:2])C([H])([H])C1([H])[*:1] 0.000 description 1
- CGHMMUAOPPRRMX-UHFFFAOYSA-N 1,4-bis(ethenoxy)cyclohexane Chemical compound C=COC1CCC(OC=C)CC1 CGHMMUAOPPRRMX-UHFFFAOYSA-N 0.000 description 1
- 125000004955 1,4-cyclohexylene group Chemical group [H]C1([H])C([H])([H])C([H])([*:1])C([H])([H])C([H])([H])C1([H])[*:2] 0.000 description 1
- OMAOITAPKNVOKH-UHFFFAOYSA-N 1-[(1-hydroxycyclohexyl)methyl]cyclohexan-1-ol Chemical compound C1CCCCC1(O)CC1(O)CCCCC1 OMAOITAPKNVOKH-UHFFFAOYSA-N 0.000 description 1
- INVPZZAWURTZET-UHFFFAOYSA-N 1-[diazo(3-methylbutylsulfonyl)methyl]sulfonyl-3-methylbutane Chemical compound CC(C)CCS(=O)(=O)C(=[N+]=[N-])S(=O)(=O)CCC(C)C INVPZZAWURTZET-UHFFFAOYSA-N 0.000 description 1
- WUYAQJZXAJBVFT-UHFFFAOYSA-N 1-[diazo(propylsulfonyl)methyl]sulfonylpropane Chemical compound CCCS(=O)(=O)C(=[N+]=[N-])S(=O)(=O)CCC WUYAQJZXAJBVFT-UHFFFAOYSA-N 0.000 description 1
- 125000004973 1-butenyl group Chemical group C(=CCC)* 0.000 description 1
- DDPLKUDCQKROTF-UHFFFAOYSA-N 1-cyclohexyl-2-methyl-2-(4-methylphenyl)sulfonylpropan-1-one Chemical compound C1=CC(C)=CC=C1S(=O)(=O)C(C)(C)C(=O)C1CCCCC1 DDPLKUDCQKROTF-UHFFFAOYSA-N 0.000 description 1
- 125000006039 1-hexenyl group Chemical group 0.000 description 1
- ABPXUPUDZCEGQW-UHFFFAOYSA-N 1-hydroxypiperidine-2,6-dione;methanesulfonic acid Chemical compound CS(O)(=O)=O.ON1C(=O)CCCC1=O ABPXUPUDZCEGQW-UHFFFAOYSA-N 0.000 description 1
- VCVDBBLMXDOXEY-UHFFFAOYSA-N 1-hydroxypyrrolidine-2,5-dione;naphthalene-1-sulfonic acid Chemical compound ON1C(=O)CCC1=O.C1=CC=C2C(S(=O)(=O)O)=CC=CC2=C1 VCVDBBLMXDOXEY-UHFFFAOYSA-N 0.000 description 1
- SSVBQZNCZVTJPT-UHFFFAOYSA-N 1-hydroxypyrrolidine-2,5-dione;naphthalene-2-sulfonic acid Chemical compound ON1C(=O)CCC1=O.C1=CC=CC2=CC(S(=O)(=O)O)=CC=C21 SSVBQZNCZVTJPT-UHFFFAOYSA-N 0.000 description 1
- XLOXYWLRAKCDQL-UHFFFAOYSA-N 1-methyl-4-[(4-methylphenyl)sulfonylmethylsulfonyl]benzene Chemical compound C1=CC(C)=CC=C1S(=O)(=O)CS(=O)(=O)C1=CC=C(C)C=C1 XLOXYWLRAKCDQL-UHFFFAOYSA-N 0.000 description 1
- BRAJQLYTRXKQAW-UHFFFAOYSA-N 1-methyl-4-phenyl-2h-pyridine Chemical compound C1=CN(C)CC=C1C1=CC=CC=C1 BRAJQLYTRXKQAW-UHFFFAOYSA-N 0.000 description 1
- DVVGIUUJYPYENY-UHFFFAOYSA-N 1-methylpyridin-2-one Chemical compound CN1C=CC=CC1=O DVVGIUUJYPYENY-UHFFFAOYSA-N 0.000 description 1
- AVFZOVWCLRSYKC-UHFFFAOYSA-N 1-methylpyrrolidine Chemical compound CN1CCCC1 AVFZOVWCLRSYKC-UHFFFAOYSA-N 0.000 description 1
- KJCVRFUGPWSIIH-UHFFFAOYSA-N 1-naphthol Chemical compound C1=CC=C2C(O)=CC=CC2=C1 KJCVRFUGPWSIIH-UHFFFAOYSA-N 0.000 description 1
- KWKAKUADMBZCLK-UHFFFAOYSA-N 1-octene Chemical group CCCCCCC=C KWKAKUADMBZCLK-UHFFFAOYSA-N 0.000 description 1
- 125000006023 1-pentenyl group Chemical group 0.000 description 1
- 125000006017 1-propenyl group Chemical group 0.000 description 1
- ZQIRDVOOZRNVDY-UHFFFAOYSA-N 1-trimethoxysilylpropan-2-yl acetate Chemical compound CO[Si](OC)(OC)CC(C)OC(C)=O ZQIRDVOOZRNVDY-UHFFFAOYSA-N 0.000 description 1
- BAXOFTOLAUCFNW-UHFFFAOYSA-N 1H-indazole Chemical class C1=CC=C2C=NNC2=C1 BAXOFTOLAUCFNW-UHFFFAOYSA-N 0.000 description 1
- MQCPOLNSJCWPGT-UHFFFAOYSA-N 2,2'-Bisphenol F Chemical compound OC1=CC=CC=C1CC1=CC=CC=C1O MQCPOLNSJCWPGT-UHFFFAOYSA-N 0.000 description 1
- WBDPKZCMVAISAL-UHFFFAOYSA-N 2,3,4-triethylpyridine Chemical compound CCC1=CC=NC(CC)=C1CC WBDPKZCMVAISAL-UHFFFAOYSA-N 0.000 description 1
- HMBHAQMOBKLWRX-UHFFFAOYSA-N 2,3-dihydro-1,4-benzodioxine-3-carboxylic acid Chemical compound C1=CC=C2OC(C(=O)O)COC2=C1 HMBHAQMOBKLWRX-UHFFFAOYSA-N 0.000 description 1
- QHUHPERZCBUMRK-UHFFFAOYSA-N 2,3-dimethoxypyridine Chemical compound COC1=CC=CN=C1OC QHUHPERZCBUMRK-UHFFFAOYSA-N 0.000 description 1
- WKAXDAMWMOBXMP-UHFFFAOYSA-N 2,3-diphenylpyridine Chemical compound C1=CC=CC=C1C1=CC=CN=C1C1=CC=CC=C1 WKAXDAMWMOBXMP-UHFFFAOYSA-N 0.000 description 1
- MOLRNXJUYCXJTN-UHFFFAOYSA-N 2,4-dimethyl-2-(4-methylphenyl)sulfonylpentan-3-one Chemical compound CC(C)C(=O)C(C)(C)S(=O)(=O)C1=CC=C(C)C=C1 MOLRNXJUYCXJTN-UHFFFAOYSA-N 0.000 description 1
- MAQOZOILPAMFSW-UHFFFAOYSA-N 2,6-bis[(2-hydroxy-5-methylphenyl)methyl]-4-methylphenol Chemical compound CC1=CC=C(O)C(CC=2C(=C(CC=3C(=CC=C(C)C=3)O)C=C(C)C=2)O)=C1 MAQOZOILPAMFSW-UHFFFAOYSA-N 0.000 description 1
- SFRDXVJWXWOTEW-UHFFFAOYSA-N 2-(hydroxymethyl)propane-1,3-diol Chemical compound OCC(CO)CO SFRDXVJWXWOTEW-UHFFFAOYSA-N 0.000 description 1
- OIALIKXMLIAOSN-UHFFFAOYSA-N 2-Propylpyridine Chemical compound CCCC1=CC=CC=N1 OIALIKXMLIAOSN-UHFFFAOYSA-N 0.000 description 1
- ZFOHYVABZSHZFF-UHFFFAOYSA-N 2-[(z)-tert-butylsulfonyl(diazo)methyl]sulfonyl-2-methylbutane Chemical compound CCC(C)(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C(C)(C)C ZFOHYVABZSHZFF-UHFFFAOYSA-N 0.000 description 1
- FTPALKUEAPIMPZ-UHFFFAOYSA-N 2-[diazo(pentan-2-ylsulfonyl)methyl]sulfonylpentane Chemical compound CCCC(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C(C)CCC FTPALKUEAPIMPZ-UHFFFAOYSA-N 0.000 description 1
- PCFUWBOSXMKGIP-UHFFFAOYSA-N 2-benzylpyridine Chemical compound C=1C=CC=NC=1CC1=CC=CC=C1 PCFUWBOSXMKGIP-UHFFFAOYSA-N 0.000 description 1
- OFLSKXBALZCMCX-UHFFFAOYSA-N 2-butoxypyridine Chemical compound CCCCOC1=CC=CC=N1 OFLSKXBALZCMCX-UHFFFAOYSA-N 0.000 description 1
- ADSOSINJPNKUJK-UHFFFAOYSA-N 2-butylpyridine Chemical compound CCCCC1=CC=CC=N1 ADSOSINJPNKUJK-UHFFFAOYSA-N 0.000 description 1
- NRGGMCIBEHEAIL-UHFFFAOYSA-N 2-ethylpyridine Chemical compound CCC1=CC=CC=N1 NRGGMCIBEHEAIL-UHFFFAOYSA-N 0.000 description 1
- IWTFOFMTUOBLHG-UHFFFAOYSA-N 2-methoxypyridine Chemical compound COC1=CC=CC=N1 IWTFOFMTUOBLHG-UHFFFAOYSA-N 0.000 description 1
- CTSZPNIMMLSKDV-UHFFFAOYSA-N 2-methyl-1-pyrroline Chemical compound CC1=NCCC1 CTSZPNIMMLSKDV-UHFFFAOYSA-N 0.000 description 1
- QTWJRLJHJPIABL-UHFFFAOYSA-N 2-methylphenol;3-methylphenol;4-methylphenol Chemical compound CC1=CC=C(O)C=C1.CC1=CC=CC(O)=C1.CC1=CC=CC=C1O QTWJRLJHJPIABL-UHFFFAOYSA-N 0.000 description 1
- BSKHPKMHTQYZBB-UHFFFAOYSA-N 2-methylpyridine Chemical compound CC1=CC=CC=N1 BSKHPKMHTQYZBB-UHFFFAOYSA-N 0.000 description 1
- QFABNUVNDKOIEH-UHFFFAOYSA-N 2-methylsulfanylcyclohexan-1-one Chemical compound CSC1CCCCC1=O QFABNUVNDKOIEH-UHFFFAOYSA-N 0.000 description 1
- BNCADMBVWNPPIZ-UHFFFAOYSA-N 2-n,2-n,4-n,4-n,6-n,6-n-hexakis(methoxymethyl)-1,3,5-triazine-2,4,6-triamine Chemical compound COCN(COC)C1=NC(N(COC)COC)=NC(N(COC)COC)=N1 BNCADMBVWNPPIZ-UHFFFAOYSA-N 0.000 description 1
- FTYAXYWEDPWJCJ-UHFFFAOYSA-N 2-pentan-3-ylpyridine Chemical compound CCC(CC)C1=CC=CC=N1 FTYAXYWEDPWJCJ-UHFFFAOYSA-N 0.000 description 1
- RSEBUVRVKCANEP-UHFFFAOYSA-N 2-pyrroline Chemical compound C1CC=CN1 RSEBUVRVKCANEP-UHFFFAOYSA-N 0.000 description 1
- LQJBNNIYVWPHFW-UHFFFAOYSA-N 20:1omega9c fatty acid Natural products CCCCCCCCCCC=CCCCCCCCC(O)=O LQJBNNIYVWPHFW-UHFFFAOYSA-N 0.000 description 1
- ZFZDWMXUMXACHS-UHFFFAOYSA-N 28132-01-6 Chemical compound C1C2CC(CO)C1C1C2CC(CO)C1 ZFZDWMXUMXACHS-UHFFFAOYSA-N 0.000 description 1
- OQGRZXSBFFEBQJ-UHFFFAOYSA-M 3-bicyclo[2.2.1]heptanyl-methyl-(2-oxocyclohexyl)sulfanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1C(C2)CCC2C1[S+](C)C1CCCCC1=O OQGRZXSBFFEBQJ-UHFFFAOYSA-M 0.000 description 1
- 125000004975 3-butenyl group Chemical group C(CC=C)* 0.000 description 1
- ILRVMZXWYVQUMN-UHFFFAOYSA-N 3-ethenoxy-2,2-bis(ethenoxymethyl)propan-1-ol Chemical compound C=COCC(CO)(COC=C)COC=C ILRVMZXWYVQUMN-UHFFFAOYSA-N 0.000 description 1
- 125000006041 3-hexenyl group Chemical group 0.000 description 1
- JSGVZVOGOQILFM-UHFFFAOYSA-N 3-methoxy-1-butanol Chemical compound COC(C)CCO JSGVZVOGOQILFM-UHFFFAOYSA-N 0.000 description 1
- MFKRHJVUCZRDTF-UHFFFAOYSA-N 3-methoxy-3-methylbutan-1-ol Chemical compound COC(C)(C)CCO MFKRHJVUCZRDTF-UHFFFAOYSA-N 0.000 description 1
- MECNWXGGNCJFQJ-UHFFFAOYSA-N 3-piperidin-1-ylpropane-1,2-diol Chemical compound OCC(O)CN1CCCCC1 MECNWXGGNCJFQJ-UHFFFAOYSA-N 0.000 description 1
- KVUMYOWDFZAGPN-UHFFFAOYSA-N 3-trimethoxysilylpropanenitrile Chemical compound CO[Si](OC)(OC)CCC#N KVUMYOWDFZAGPN-UHFFFAOYSA-N 0.000 description 1
- RXNYJUSEXLAVNQ-UHFFFAOYSA-N 4,4'-Dihydroxybenzophenone Chemical compound C1=CC(O)=CC=C1C(=O)C1=CC=C(O)C=C1 RXNYJUSEXLAVNQ-UHFFFAOYSA-N 0.000 description 1
- URFNSYWAGGETFK-UHFFFAOYSA-N 4,4'-Dihydroxybibenzyl Chemical compound C1=CC(O)=CC=C1CCC1=CC=C(O)C=C1 URFNSYWAGGETFK-UHFFFAOYSA-N 0.000 description 1
- UPMLOUAZCHDJJD-UHFFFAOYSA-N 4,4'-Diphenylmethane Diisocyanate Chemical compound C1=CC(N=C=O)=CC=C1CC1=CC=C(N=C=O)C=C1 UPMLOUAZCHDJJD-UHFFFAOYSA-N 0.000 description 1
- KXNGHSFCHPSAOV-UHFFFAOYSA-N 4,6-bis[(4-hydroxyphenyl)methyl]benzene-1,3-diol Chemical compound C1=CC(O)=CC=C1CC1=CC(CC=2C=CC(O)=CC=2)=C(O)C=C1O KXNGHSFCHPSAOV-UHFFFAOYSA-N 0.000 description 1
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- MZXNOAWIRQFYDB-UHFFFAOYSA-N 4-(4-hydroxycyclohexyl)cyclohexan-1-ol Chemical compound C1CC(O)CCC1C1CCC(O)CC1 MZXNOAWIRQFYDB-UHFFFAOYSA-N 0.000 description 1
- NZGQHKSLKRFZFL-UHFFFAOYSA-N 4-(4-hydroxyphenoxy)phenol Chemical compound C1=CC(O)=CC=C1OC1=CC=C(O)C=C1 NZGQHKSLKRFZFL-UHFFFAOYSA-N 0.000 description 1
- AZZWZMUXHALBCQ-UHFFFAOYSA-N 4-[(4-hydroxy-3,5-dimethylphenyl)methyl]-2,6-dimethylphenol Chemical compound CC1=C(O)C(C)=CC(CC=2C=C(C)C(O)=C(C)C=2)=C1 AZZWZMUXHALBCQ-UHFFFAOYSA-N 0.000 description 1
- MIFGCULLADMRTF-UHFFFAOYSA-N 4-[(4-hydroxy-3-methylphenyl)methyl]-2-methylphenol Chemical compound C1=C(O)C(C)=CC(CC=2C=C(C)C(O)=CC=2)=C1 MIFGCULLADMRTF-UHFFFAOYSA-N 0.000 description 1
- ODJUOZPKKHIEOZ-UHFFFAOYSA-N 4-[2-(4-hydroxy-3,5-dimethylphenyl)propan-2-yl]-2,6-dimethylphenol Chemical compound CC1=C(O)C(C)=CC(C(C)(C)C=2C=C(C)C(O)=C(C)C=2)=C1 ODJUOZPKKHIEOZ-UHFFFAOYSA-N 0.000 description 1
- VHLLJTHDWPAQEM-UHFFFAOYSA-N 4-[2-(4-hydroxyphenyl)-4-methylpentan-2-yl]phenol Chemical compound C=1C=C(O)C=CC=1C(C)(CC(C)C)C1=CC=C(O)C=C1 VHLLJTHDWPAQEM-UHFFFAOYSA-N 0.000 description 1
- GTCIFDNJOWPTMZ-UHFFFAOYSA-N 4-[4-hydroxy-3,5-bis[(2-hydroxy-5-methylphenyl)methyl]phenyl]-2,6-bis[(2-hydroxy-5-methylphenyl)methyl]phenol Chemical compound CC1=CC=C(O)C(CC=2C(=C(CC=3C(=CC=C(C)C=3)O)C=C(C=2)C=2C=C(CC=3C(=CC=C(C)C=3)O)C(O)=C(CC=3C(=CC=C(C)C=3)O)C=2)O)=C1 GTCIFDNJOWPTMZ-UHFFFAOYSA-N 0.000 description 1
- JNUABJRDTVGXLW-UHFFFAOYSA-N 4-[diethyl-(4-hydroxyphenyl)silyl]phenol Chemical compound C=1C=C(O)C=CC=1[Si](CC)(CC)C1=CC=C(O)C=C1 JNUABJRDTVGXLW-UHFFFAOYSA-N 0.000 description 1
- WVSYONICNIDYBE-UHFFFAOYSA-M 4-fluorobenzenesulfonate Chemical compound [O-]S(=O)(=O)C1=CC=C(F)C=C1 WVSYONICNIDYBE-UHFFFAOYSA-M 0.000 description 1
- 125000004203 4-hydroxyphenyl group Chemical group [H]OC1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- AOMKYCIOFLWFBM-UHFFFAOYSA-M 4-methylbenzenesulfonate;[4-[(2-methylpropan-2-yl)oxy]phenyl]-diphenylsulfanium Chemical compound CC1=CC=C(S([O-])(=O)=O)C=C1.C1=CC(OC(C)(C)C)=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 AOMKYCIOFLWFBM-UHFFFAOYSA-M 0.000 description 1
- FHVCZJGBXWNGIZ-UHFFFAOYSA-M 4-methylbenzenesulfonate;tetramethylazanium Chemical compound C[N+](C)(C)C.CC1=CC=C(S([O-])(=O)=O)C=C1 FHVCZJGBXWNGIZ-UHFFFAOYSA-M 0.000 description 1
- MNYVHIDJVUQXKZ-UHFFFAOYSA-M 4-methylbenzenesulfonate;trimethylsulfanium Chemical compound C[S+](C)C.CC1=CC=C(S([O-])(=O)=O)C=C1 MNYVHIDJVUQXKZ-UHFFFAOYSA-M 0.000 description 1
- MJGQMEJOQAULGB-UHFFFAOYSA-M 4-methylbenzenesulfonate;tris[4-[(2-methylpropan-2-yl)oxy]phenyl]sulfanium Chemical compound CC1=CC=C(S([O-])(=O)=O)C=C1.C1=CC(OC(C)(C)C)=CC=C1[S+](C=1C=CC(OC(C)(C)C)=CC=1)C1=CC=C(OC(C)(C)C)C=C1 MJGQMEJOQAULGB-UHFFFAOYSA-M 0.000 description 1
- ATCGHKBXRIOBDX-UHFFFAOYSA-N 4-nonan-5-ylpyridine Chemical compound CCCCC(CCCC)C1=CC=NC=C1 ATCGHKBXRIOBDX-UHFFFAOYSA-N 0.000 description 1
- RGUKYNXWOWSRET-UHFFFAOYSA-N 4-pyrrolidin-1-ylpyridine Chemical compound C1CCCN1C1=CC=NC=C1 RGUKYNXWOWSRET-UHFFFAOYSA-N 0.000 description 1
- 125000006043 5-hexenyl group Chemical group 0.000 description 1
- TYOXIFXYEIILLY-UHFFFAOYSA-N 5-methyl-2-phenyl-1h-imidazole Chemical compound N1C(C)=CN=C1C1=CC=CC=C1 TYOXIFXYEIILLY-UHFFFAOYSA-N 0.000 description 1
- QSBYPNXLFMSGKH-UHFFFAOYSA-N 9-Heptadecensaeure Natural products CCCCCCCC=CCCCCCCCC(O)=O QSBYPNXLFMSGKH-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 1
- 229920000178 Acrylic resin Polymers 0.000 description 1
- 239000004925 Acrylic resin Substances 0.000 description 1
- GFFGJBXGBJISGV-UHFFFAOYSA-N Adenine Chemical class NC1=NC=NC2=C1N=CN2 GFFGJBXGBJISGV-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- 239000005711 Benzoic acid Substances 0.000 description 1
- GIXXQTYGFOHYPT-UHFFFAOYSA-N Bisphenol P Chemical compound C=1C=C(C(C)(C)C=2C=CC(O)=CC=2)C=CC=1C(C)(C)C1=CC=C(O)C=C1 GIXXQTYGFOHYPT-UHFFFAOYSA-N 0.000 description 1
- SDDLEVPIDBLVHC-UHFFFAOYSA-N Bisphenol Z Chemical compound C1=CC(O)=CC=C1C1(C=2C=CC(O)=CC=2)CCCCC1 SDDLEVPIDBLVHC-UHFFFAOYSA-N 0.000 description 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-M Bisulfite Chemical compound OS([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-M 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- LXVHOVAHUOGFKN-UHFFFAOYSA-N C(C1=CC=CC=C1)S(=O)(=O)[O-].C1(=CC=CC=C1)[SH+]C1=CC=CC=C1 Chemical compound C(C1=CC=CC=C1)S(=O)(=O)[O-].C1(=CC=CC=C1)[SH+]C1=CC=CC=C1 LXVHOVAHUOGFKN-UHFFFAOYSA-N 0.000 description 1
- HPFODLCEBDTPKR-UHFFFAOYSA-N C1(C(C=CC=C1)C)(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1(C(C=CC=C1)C)C Chemical compound C1(C(C=CC=C1)C)(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1(C(C=CC=C1)C)C HPFODLCEBDTPKR-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- FEWJPZIEWOKRBE-JCYAYHJZSA-N Dextrotartaric acid Chemical compound OC(=O)[C@H](O)[C@@H](O)C(O)=O FEWJPZIEWOKRBE-JCYAYHJZSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 102100025027 E3 ubiquitin-protein ligase TRIM69 Human genes 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- NYHBQMYGNKIUIF-UUOKFMHZSA-N Guanosine Chemical class C1=NC=2C(=O)NC(N)=NC=2N1[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O NYHBQMYGNKIUIF-UUOKFMHZSA-N 0.000 description 1
- 239000005057 Hexamethylene diisocyanate Substances 0.000 description 1
- 101000830203 Homo sapiens E3 ubiquitin-protein ligase TRIM69 Proteins 0.000 description 1
- 101000692259 Homo sapiens Phosphoprotein associated with glycosphingolipid-enriched microdomains 1 Proteins 0.000 description 1
- 239000004640 Melamine resin Substances 0.000 description 1
- NQTADLQHYWFPDB-UHFFFAOYSA-N N-Hydroxysuccinimide Chemical compound ON1C(=O)CCC1=O NQTADLQHYWFPDB-UHFFFAOYSA-N 0.000 description 1
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 1
- AHVYPIQETPWLSZ-UHFFFAOYSA-N N-methyl-pyrrolidine Natural products CN1CC=CC1 AHVYPIQETPWLSZ-UHFFFAOYSA-N 0.000 description 1
- OMRDSWJXRLDPBB-UHFFFAOYSA-N N=C=O.N=C=O.C1CCCCC1 Chemical compound N=C=O.N=C=O.C1CCCCC1 OMRDSWJXRLDPBB-UHFFFAOYSA-N 0.000 description 1
- HSUOJFSTGVZCTE-UHFFFAOYSA-N O=C1C(CCCC1)[SH2+].C1(CCCCC1)CS(=O)(=O)[O-] Chemical compound O=C1C(CCCC1)[SH2+].C1(CCCCC1)CS(=O)(=O)[O-] HSUOJFSTGVZCTE-UHFFFAOYSA-N 0.000 description 1
- 239000005642 Oleic acid Substances 0.000 description 1
- ZQPPMHVWECSIRJ-UHFFFAOYSA-N Oleic acid Natural products CCCCCCCCC=CCCCCCCCC(O)=O ZQPPMHVWECSIRJ-UHFFFAOYSA-N 0.000 description 1
- 102100026066 Phosphoprotein associated with glycosphingolipid-enriched microdomains 1 Human genes 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 239000004372 Polyvinyl alcohol Substances 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 235000021355 Stearic acid Nutrition 0.000 description 1
- 101000987219 Sus scrofa Pregnancy-associated glycoprotein 1 Proteins 0.000 description 1
- FEWJPZIEWOKRBE-UHFFFAOYSA-N Tartaric acid Natural products [H+].[H+].[O-]C(=O)C(O)C(O)C([O-])=O FEWJPZIEWOKRBE-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- ISAKRJDGNUQOIC-UHFFFAOYSA-N Uracil Chemical class O=C1C=CNC(=O)N1 ISAKRJDGNUQOIC-UHFFFAOYSA-N 0.000 description 1
- DRTQHJPVMGBUCF-XVFCMESISA-N Uridine Chemical class O[C@@H]1[C@H](O)[C@@H](CO)O[C@H]1N1C(=O)NC(=O)C=C1 DRTQHJPVMGBUCF-XVFCMESISA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- XPZWRXYDVYKMSN-UHFFFAOYSA-N [(e)-diazo(2-methylbutan-2-ylsulfonyl)methyl]sulfonylcyclohexane Chemical compound CCC(C)(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1CCCCC1 XPZWRXYDVYKMSN-UHFFFAOYSA-N 0.000 description 1
- YPPVLYIFEAESGO-UHFFFAOYSA-N [2,3-bis(methylsulfonyloxy)phenyl] methanesulfonate Chemical compound CS(=O)(=O)OC1=CC=CC(OS(C)(=O)=O)=C1OS(C)(=O)=O YPPVLYIFEAESGO-UHFFFAOYSA-N 0.000 description 1
- DCYQPMGIYRPCBA-UHFFFAOYSA-N [2,3-bis(trifluoromethylsulfonyloxy)phenyl] trifluoromethanesulfonate Chemical compound FC(F)(F)S(=O)(=O)OC1=CC=CC(OS(=O)(=O)C(F)(F)F)=C1OS(=O)(=O)C(F)(F)F DCYQPMGIYRPCBA-UHFFFAOYSA-N 0.000 description 1
- XKMKQFBRTQEVQI-UHFFFAOYSA-N [4-[(2-methylpropan-2-yl)oxy]phenyl]-phenyliodanium Chemical compound C1=CC(OC(C)(C)C)=CC=C1[I+]C1=CC=CC=C1 XKMKQFBRTQEVQI-UHFFFAOYSA-N 0.000 description 1
- ISFXMNADAJKIEG-UHFFFAOYSA-M [4-[(2-methylpropan-2-yl)oxy]phenyl]-phenyliodanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC(OC(C)(C)C)=CC=C1[I+]C1=CC=CC=C1 ISFXMNADAJKIEG-UHFFFAOYSA-M 0.000 description 1
- DUJLILQBTCLTDQ-UHFFFAOYSA-N [cyclopentylsulfonyl(diazo)methyl]sulfonylcyclopentane Chemical compound C1CCCC1S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1CCCC1 DUJLILQBTCLTDQ-UHFFFAOYSA-N 0.000 description 1
- FEVJONIJUZTKGL-UHFFFAOYSA-N [tert-butylsulfonyl(diazo)methyl]sulfonylcyclohexane Chemical compound CC(C)(C)S(=O)(=O)C(=[N+]=[N-])S(=O)(=O)C1CCCCC1 FEVJONIJUZTKGL-UHFFFAOYSA-N 0.000 description 1
- 125000004036 acetal group Chemical group 0.000 description 1
- 125000003668 acetyloxy group Chemical group [H]C([H])([H])C(=O)O[*] 0.000 description 1
- 239000003377 acid catalyst Substances 0.000 description 1
- 150000001251 acridines Chemical class 0.000 description 1
- 125000005042 acyloxymethyl group Chemical group 0.000 description 1
- 238000007259 addition reaction Methods 0.000 description 1
- 150000003835 adenosine derivatives Chemical class 0.000 description 1
- 125000003158 alcohol group Chemical group 0.000 description 1
- 229920006109 alicyclic polymer Polymers 0.000 description 1
- 125000004849 alkoxymethyl group Chemical group 0.000 description 1
- 229940045714 alkyl sulfonate alkylating agent Drugs 0.000 description 1
- 150000008052 alkyl sulfonates Chemical class 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 150000003927 aminopyridines Chemical class 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229940054051 antipsychotic indole derivative Drugs 0.000 description 1
- 229940027998 antiseptic and disinfectant acridine derivative Drugs 0.000 description 1
- 229940027991 antiseptic and disinfectant quinoline derivative Drugs 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 125000005228 aryl sulfonate group Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 150000001540 azides Chemical class 0.000 description 1
- RQPZNWPYLFFXCP-UHFFFAOYSA-L barium dihydroxide Chemical compound [OH-].[OH-].[Ba+2] RQPZNWPYLFFXCP-UHFFFAOYSA-L 0.000 description 1
- 229910001863 barium hydroxide Inorganic materials 0.000 description 1
- 229920005601 base polymer Polymers 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- SRSXLGNVWSONIS-UHFFFAOYSA-M benzenesulfonate Chemical compound [O-]S(=O)(=O)C1=CC=CC=C1 SRSXLGNVWSONIS-UHFFFAOYSA-M 0.000 description 1
- 229940077388 benzenesulfonate Drugs 0.000 description 1
- 229940092714 benzenesulfonic acid Drugs 0.000 description 1
- CXJVMJWCNFOERL-UHFFFAOYSA-N benzenesulfonylsulfonylbenzene Chemical class C=1C=CC=CC=1S(=O)(=O)S(=O)(=O)C1=CC=CC=C1 CXJVMJWCNFOERL-UHFFFAOYSA-N 0.000 description 1
- 235000010233 benzoic acid Nutrition 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- VDPDRYUUTXEEIE-UHFFFAOYSA-N bis-methylsulphonyl methane Natural products CS(=O)(=O)CS(C)(=O)=O VDPDRYUUTXEEIE-UHFFFAOYSA-N 0.000 description 1
- GGIPUHXGGFQZCM-UHFFFAOYSA-N bis[4-[(2-methylpropan-2-yl)oxy]phenyl]-phenylsulfanium Chemical compound C1=CC(OC(C)(C)C)=CC=C1[S+](C=1C=CC(OC(C)(C)C)=CC=1)C1=CC=CC=C1 GGIPUHXGGFQZCM-UHFFFAOYSA-N 0.000 description 1
- ZFVMWEVVKGLCIJ-UHFFFAOYSA-N bisphenol AF Chemical compound C1=CC(O)=CC=C1C(C(F)(F)F)(C(F)(F)F)C1=CC=C(O)C=C1 ZFVMWEVVKGLCIJ-UHFFFAOYSA-N 0.000 description 1
- 229940006460 bromide ion Drugs 0.000 description 1
- QDHFHIQKOVNCNC-UHFFFAOYSA-M butane-1-sulfonate Chemical compound CCCCS([O-])(=O)=O QDHFHIQKOVNCNC-UHFFFAOYSA-M 0.000 description 1
- QPXMRCTYZIAUQD-UHFFFAOYSA-M butane-1-sulfonate;triphenylsulfanium Chemical compound CCCCS([O-])(=O)=O.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 QPXMRCTYZIAUQD-UHFFFAOYSA-M 0.000 description 1
- 125000004369 butenyl group Chemical group C(=CCC)* 0.000 description 1
- 125000006226 butoxyethyl group Chemical group 0.000 description 1
- 229940043232 butyl acetate Drugs 0.000 description 1
- NWEKXBVHVALDOL-UHFFFAOYSA-N butylazanium;hydroxide Chemical compound [OH-].CCCC[NH3+] NWEKXBVHVALDOL-UHFFFAOYSA-N 0.000 description 1
- AXCZMVOFGPJBDE-UHFFFAOYSA-L calcium dihydroxide Chemical compound [OH-].[OH-].[Ca+2] AXCZMVOFGPJBDE-UHFFFAOYSA-L 0.000 description 1
- 239000000920 calcium hydroxide Substances 0.000 description 1
- 229910001861 calcium hydroxide Inorganic materials 0.000 description 1
- 125000000609 carbazolyl group Chemical class C1(=CC=CC=2C3=CC=CC=C3NC12)* 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 230000009920 chelation Effects 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 125000000068 chlorophenyl group Chemical group 0.000 description 1
- 229940075419 choline hydroxide Drugs 0.000 description 1
- 125000000259 cinnolinyl group Chemical class N1=NC(=CC2=CC=CC=C12)* 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- 229930003836 cresol Natural products 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 125000000596 cyclohexenyl group Chemical group C1(=CCCCC1)* 0.000 description 1
- WFHRDBABGRTHFX-UHFFFAOYSA-N cyclohexylmethyl trifluoromethanesulfonate Chemical compound FC(F)(F)S(=O)(=O)OCC1CCCCC1 WFHRDBABGRTHFX-UHFFFAOYSA-N 0.000 description 1
- PMBLWUNGLHPHHX-UHFFFAOYSA-N cyclohexylmethyl trifluoromethanesulfonate 2-sulfanylcyclohexan-1-one Chemical compound O=C(CCCC1)C1S.O=S(C(F)(F)F)(OCC1CCCCC1)=O PMBLWUNGLHPHHX-UHFFFAOYSA-N 0.000 description 1
- ODOYKCYDOVBTAR-UHFFFAOYSA-N cyclohexylsulfonylsulfonylcyclohexane Chemical class C1CCCCC1S(=O)(=O)S(=O)(=O)C1CCCCC1 ODOYKCYDOVBTAR-UHFFFAOYSA-N 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 150000004985 diamines Chemical class 0.000 description 1
- OVLXQLQBOCAFDH-UHFFFAOYSA-M dicyclohexyl(phenyl)sulfanium;4-methylbenzenesulfonate Chemical compound CC1=CC=C(S([O-])(=O)=O)C=C1.C1CCCCC1[S+](C=1C=CC=CC=1)C1CCCCC1 OVLXQLQBOCAFDH-UHFFFAOYSA-M 0.000 description 1
- NSXRYFKEEKGLHO-UHFFFAOYSA-M dicyclohexyl(phenyl)sulfanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1CCCCC1[S+](C=1C=CC=CC=1)C1CCCCC1 NSXRYFKEEKGLHO-UHFFFAOYSA-M 0.000 description 1
- SXHRYKLRBLHUPL-UHFFFAOYSA-M dimethyl(phenyl)sulfanium;4-methylbenzenesulfonate Chemical compound C[S+](C)C1=CC=CC=C1.CC1=CC=C(S([O-])(=O)=O)C=C1 SXHRYKLRBLHUPL-UHFFFAOYSA-M 0.000 description 1
- CYQUIWZGOBANMJ-UHFFFAOYSA-M dimethyl(phenyl)sulfanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C[S+](C)C1=CC=CC=C1 CYQUIWZGOBANMJ-UHFFFAOYSA-M 0.000 description 1
- JGUQDUKBUKFFRO-CIIODKQPSA-N dimethylglyoxime Chemical compound O/N=C(/C)\C(\C)=N\O JGUQDUKBUKFFRO-CIIODKQPSA-N 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- DMBHHRLKUKUOEG-UHFFFAOYSA-N diphenylamine Chemical class C=1C=CC=CC=1NC1=CC=CC=C1 DMBHHRLKUKUOEG-UHFFFAOYSA-N 0.000 description 1
- SBQIJPBUMNWUKN-UHFFFAOYSA-M diphenyliodanium;trifluoromethanesulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C=1C=CC=CC=1[I+]C1=CC=CC=C1 SBQIJPBUMNWUKN-UHFFFAOYSA-M 0.000 description 1
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 229940052303 ethers for general anesthesia Drugs 0.000 description 1
- 125000001301 ethoxy group Chemical group [H]C([H])([H])C([H])([H])O* 0.000 description 1
- 125000006232 ethoxy propyl group Chemical group [H]C([H])([H])C([H])([H])OC([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000005448 ethoxyethyl group Chemical group [H]C([H])([H])C([H])([H])OC([H])([H])C([H])([H])* 0.000 description 1
- 125000005745 ethoxymethyl group Chemical group [H]C([H])([H])C([H])([H])OC([H])([H])* 0.000 description 1
- BHXIWUJLHYHGSJ-UHFFFAOYSA-N ethyl 3-ethoxypropanoate Chemical compound CCOCCC(=O)OCC BHXIWUJLHYHGSJ-UHFFFAOYSA-N 0.000 description 1
- 229940116333 ethyl lactate Drugs 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- 125000001207 fluorophenyl group Chemical group 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 239000001530 fumaric acid Substances 0.000 description 1
- 150000002244 furazanes Chemical class 0.000 description 1
- 125000002541 furyl group Chemical group 0.000 description 1
- JVZRCNQLWOELDU-UHFFFAOYSA-N gamma-Phenylpyridine Natural products C1=CC=CC=C1C1=CC=NC=C1 JVZRCNQLWOELDU-UHFFFAOYSA-N 0.000 description 1
- VPVSTMAPERLKKM-UHFFFAOYSA-N glycoluril Chemical compound N1C(=O)NC2NC(=O)NC21 VPVSTMAPERLKKM-UHFFFAOYSA-N 0.000 description 1
- UYTPUPDQBNUYGX-UHFFFAOYSA-N guanine Chemical class O=C1NC(N)=NC2=C1N=CN2 UYTPUPDQBNUYGX-UHFFFAOYSA-N 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- RRAMGCGOFNQTLD-UHFFFAOYSA-N hexamethylene diisocyanate Chemical compound O=C=NCCCCCCN=C=O RRAMGCGOFNQTLD-UHFFFAOYSA-N 0.000 description 1
- 125000006038 hexenyl group Chemical group 0.000 description 1
- DKAGJZJALZXOOV-UHFFFAOYSA-N hydrate;hydrochloride Chemical compound O.Cl DKAGJZJALZXOOV-UHFFFAOYSA-N 0.000 description 1
- 230000007062 hydrolysis Effects 0.000 description 1
- ILHIHKRJJMKBEE-UHFFFAOYSA-N hydroperoxyethane Chemical class CCOO ILHIHKRJJMKBEE-UHFFFAOYSA-N 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- 125000004356 hydroxy functional group Chemical group O* 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 150000002460 imidazoles Chemical class 0.000 description 1
- 150000002461 imidazolidines Chemical class 0.000 description 1
- 150000002462 imidazolines Chemical class 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 150000002475 indoles Chemical class 0.000 description 1
- 125000003387 indolinyl group Chemical class N1(CCC2=CC=CC=C12)* 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 125000002510 isobutoxy group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])O* 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 150000002518 isoindoles Chemical class 0.000 description 1
- QXJSBBXBKPUZAA-UHFFFAOYSA-N isooleic acid Natural products CCCCCCCC=CCCCCCCCCC(O)=O QXJSBBXBKPUZAA-UHFFFAOYSA-N 0.000 description 1
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 1
- 150000002537 isoquinolines Chemical class 0.000 description 1
- 150000002576 ketones Chemical class 0.000 description 1
- 230000031700 light absorption Effects 0.000 description 1
- 229910052943 magnesium sulfate Inorganic materials 0.000 description 1
- 235000019341 magnesium sulphate Nutrition 0.000 description 1
- 150000007974 melamines Chemical class 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 125000004170 methylsulfonyl group Chemical group [H]C([H])([H])S(*)(=O)=O 0.000 description 1
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 1
- 239000012046 mixed solvent Substances 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- 150000002780 morpholines Chemical class 0.000 description 1
- PSHKMPUSSFXUIA-UHFFFAOYSA-N n,n-dimethylpyridin-2-amine Chemical compound CN(C)C1=CC=CC=N1 PSHKMPUSSFXUIA-UHFFFAOYSA-N 0.000 description 1
- 125000006606 n-butoxy group Chemical group 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000006124 n-propyl sulfonyl group Chemical group 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 125000002560 nitrile group Chemical group 0.000 description 1
- 125000005246 nonafluorobutyl group Chemical group FC(F)(F)C(F)(F)C(F)(F)C(F)(F)* 0.000 description 1
- UMRZSTCPUPJPOJ-KNVOCYPGSA-N norbornane Chemical group C1C[C@H]2CC[C@@H]1C2 UMRZSTCPUPJPOJ-KNVOCYPGSA-N 0.000 description 1
- QIQXTHQIDYTFRH-UHFFFAOYSA-N octadecanoic acid Chemical compound CCCCCCCCCCCCCCCCCC(O)=O QIQXTHQIDYTFRH-UHFFFAOYSA-N 0.000 description 1
- OQCDKBAXFALNLD-UHFFFAOYSA-N octadecanoic acid Natural products CCCCCCCC(C)CCCCCCCCC(O)=O OQCDKBAXFALNLD-UHFFFAOYSA-N 0.000 description 1
- ZQPPMHVWECSIRJ-KTKRTIGZSA-N oleic acid Chemical compound CCCCCCCC\C=C/CCCCCCCC(O)=O ZQPPMHVWECSIRJ-KTKRTIGZSA-N 0.000 description 1
- 235000021313 oleic acid Nutrition 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 125000000636 p-nitrophenyl group Chemical group [H]C1=C([H])C(=C([H])C([H])=C1*)[N+]([O-])=O 0.000 description 1
- NFHFRUOZVGFOOS-UHFFFAOYSA-N palladium;triphenylphosphane Chemical compound [Pd].C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1 NFHFRUOZVGFOOS-UHFFFAOYSA-N 0.000 description 1
- FJKROLUGYXJWQN-UHFFFAOYSA-N papa-hydroxy-benzoic acid Natural products OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 description 1
- WXZMFSXDPGVJKK-UHFFFAOYSA-N pentaerythritol Chemical compound OCC(CO)(CO)CO WXZMFSXDPGVJKK-UHFFFAOYSA-N 0.000 description 1
- 125000004817 pentamethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[*:1] 0.000 description 1
- 125000005815 pentoxymethyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])OC([H])([H])* 0.000 description 1
- 229940083254 peripheral vasodilators imidazoline derivative Drugs 0.000 description 1
- 229940083251 peripheral vasodilators purine derivative Drugs 0.000 description 1
- 150000005053 phenanthridines Chemical class 0.000 description 1
- 150000002988 phenazines Chemical class 0.000 description 1
- 125000000286 phenylethyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])C([H])([H])* 0.000 description 1
- RMVRSNDYEFQCLF-UHFFFAOYSA-O phenylsulfanium Chemical compound [SH2+]C1=CC=CC=C1 RMVRSNDYEFQCLF-UHFFFAOYSA-O 0.000 description 1
- 125000003170 phenylsulfonyl group Chemical group C1(=CC=CC=C1)S(=O)(=O)* 0.000 description 1
- LFSXCDWNBUNEEM-UHFFFAOYSA-N phthalazine Chemical class C1=NN=CC2=CC=CC=C21 LFSXCDWNBUNEEM-UHFFFAOYSA-N 0.000 description 1
- 150000004885 piperazines Chemical class 0.000 description 1
- 150000003053 piperidines Chemical class 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000548 poly(silane) polymer Polymers 0.000 description 1
- 229920002492 poly(sulfone) Polymers 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 229920002451 polyvinyl alcohol Polymers 0.000 description 1
- 125000001844 prenyl group Chemical group [H]C([*])([H])C([H])=C(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- 125000004368 propenyl group Chemical group C(=CC)* 0.000 description 1
- 235000019260 propionic acid Nutrition 0.000 description 1
- 125000002572 propoxy group Chemical group [*]OC([H])([H])C(C([H])([H])[H])([H])[H] 0.000 description 1
- 125000006233 propoxy propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])OC([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000006225 propoxyethyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])OC([H])([H])C([H])([H])* 0.000 description 1
- 125000005767 propoxymethyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])[#8]C([H])([H])* 0.000 description 1
- 125000001042 pteridinyl group Chemical class N1=C(N=CC2=NC=CN=C12)* 0.000 description 1
- 150000003212 purines Chemical class 0.000 description 1
- 150000003216 pyrazines Chemical class 0.000 description 1
- 150000003217 pyrazoles Chemical class 0.000 description 1
- 150000003218 pyrazolidines Chemical class 0.000 description 1
- 150000003219 pyrazolines Chemical class 0.000 description 1
- 150000004892 pyridazines Chemical class 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 150000003222 pyridines Chemical class 0.000 description 1
- 125000004076 pyridyl group Chemical group 0.000 description 1
- 229940083082 pyrimidine derivative acting on arteriolar smooth muscle Drugs 0.000 description 1
- 150000003230 pyrimidines Chemical class 0.000 description 1
- HNJBEVLQSNELDL-UHFFFAOYSA-N pyrrolidin-2-one Chemical compound O=C1CCCN1 HNJBEVLQSNELDL-UHFFFAOYSA-N 0.000 description 1
- 150000003235 pyrrolidines Chemical class 0.000 description 1
- ZVJHJDDKYZXRJI-UHFFFAOYSA-N pyrroline Natural products C1CC=NC1 ZVJHJDDKYZXRJI-UHFFFAOYSA-N 0.000 description 1
- 150000003236 pyrrolines Chemical class 0.000 description 1
- 125000002294 quinazolinyl group Chemical class N1=C(N=CC2=CC=CC=C12)* 0.000 description 1
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 description 1
- QZZYYBQGTSGDPP-UHFFFAOYSA-N quinoline-3-carbonitrile Chemical compound C1=CC=CC2=CC(C#N)=CN=C21 QZZYYBQGTSGDPP-UHFFFAOYSA-N 0.000 description 1
- 150000003248 quinolines Chemical class 0.000 description 1
- 150000003252 quinoxalines Chemical class 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000005070 ripening Effects 0.000 description 1
- 229960004889 salicylic acid Drugs 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 1
- 239000002195 soluble material Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 125000003003 spiro group Chemical group 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000008117 stearic acid Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 125000000547 substituted alkyl group Chemical group 0.000 description 1
- RLYXFYKZPNHYCO-UHFFFAOYSA-N sulfo 4-methylbenzenesulfonate Chemical class CC1=CC=C(S(=O)(=O)OS(O)(=O)=O)C=C1 RLYXFYKZPNHYCO-UHFFFAOYSA-N 0.000 description 1
- 150000003871 sulfonates Chemical class 0.000 description 1
- 150000003457 sulfones Chemical class 0.000 description 1
- HHVIBTZHLRERCL-UHFFFAOYSA-N sulfonyldimethane Chemical compound CS(C)(=O)=O HHVIBTZHLRERCL-UHFFFAOYSA-N 0.000 description 1
- 229940066771 systemic antihistamines piperazine derivative Drugs 0.000 description 1
- 239000011975 tartaric acid Substances 0.000 description 1
- 235000002906 tartaric acid Nutrition 0.000 description 1
- 229920001897 terpolymer Polymers 0.000 description 1
- 125000004213 tert-butoxy group Chemical group [H]C([H])([H])C(O*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- WMOVHXAZOJBABW-UHFFFAOYSA-N tert-butyl acetate Chemical compound CC(=O)OC(C)(C)C WMOVHXAZOJBABW-UHFFFAOYSA-N 0.000 description 1
- DZLFLBLQUQXARW-UHFFFAOYSA-N tetrabutylammonium Chemical compound CCCC[N+](CCCC)(CCCC)CCCC DZLFLBLQUQXARW-UHFFFAOYSA-N 0.000 description 1
- MANNXDXMUHZSRP-UHFFFAOYSA-M tetramethylazanium;trifluoromethanesulfonate Chemical compound C[N+](C)(C)C.[O-]S(=O)(=O)C(F)(F)F MANNXDXMUHZSRP-UHFFFAOYSA-M 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- DVKJHBMWWAPEIU-UHFFFAOYSA-N toluene 2,4-diisocyanate Chemical compound CC1=CC=C(N=C=O)C=C1N=C=O DVKJHBMWWAPEIU-UHFFFAOYSA-N 0.000 description 1
- 125000003944 tolyl group Chemical group 0.000 description 1
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- ZMANZCXQSJIPKH-UHFFFAOYSA-O triethylammonium ion Chemical compound CC[NH+](CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-O 0.000 description 1
- AANIRNIRVXARSN-UHFFFAOYSA-M trifluoromethanesulfonate;trimethylsulfanium Chemical compound C[S+](C)C.[O-]S(=O)(=O)C(F)(F)F AANIRNIRVXARSN-UHFFFAOYSA-M 0.000 description 1
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 1
- 125000000725 trifluoropropyl group Chemical group [H]C([H])(*)C([H])([H])C(F)(F)F 0.000 description 1
- 125000001889 triflyl group Chemical group FC(F)(F)S(*)(=O)=O 0.000 description 1
- KWFFOIKNMUXRHM-UHFFFAOYSA-N trimethoxy(2-silylethyl)silane Chemical compound [SiH3]CC[Si](OC)(OC)OC KWFFOIKNMUXRHM-UHFFFAOYSA-N 0.000 description 1
- 125000003258 trimethylene group Chemical group [H]C([H])([*:2])C([H])([H])C([H])([H])[*:1] 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- LENZDBCJOHFCAS-UHFFFAOYSA-N tris Chemical compound OCC(N)(CO)CO LENZDBCJOHFCAS-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/075—Silicon-containing compounds
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/091—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/075—Silicon-containing compounds
- G03F7/0752—Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/075—Silicon-containing compounds
- G03F7/0757—Macromolecular compounds containing Si-O, Si-C or Si-N bonds
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24355—Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Engineering & Computer Science (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Materials For Photolithography (AREA)
Abstract
【解決手段】リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むもの、又は下記一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、下記一般式(3)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料。
【化34】
【化35】
【選択図】 なし
Description
フォトレジスト膜の下地とする反射防止膜は、その下がポリシリコンやアルミニウムなどの高反射基板の場合では、最適な屈折率(n値)、消光係数(k値)の材料を適切な膜厚に設定することによって、基板からの反射を1%以下に低減でき、極めて大きな効果を発揮することができる。
無機系はSiON膜が挙げられる。これは、シランとアンモニアの混合ガスによるCVD(化学気相成長;Chemial Vapor Deposition)などで形成され、フォトレジスト膜に対するエッチング選択比が大きいため、フォトレジスト膜へのエッチングの負荷が小さい利点があるが、剥離が困難なため、適用できる場合に制限がある。また、窒素原子を含む塩基性のものであるため、ポジレジストではフッティング、ネガレジストではアンダーカットプロファイルになりやすいという欠点もある。
尚、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物のブレンド比としては、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物の重量を1とした時、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物を、0.1以上10以下の範囲でブレンドするのが好ましく、0.2以上5以下の範囲でブレンドするのがより好ましい。
反射防止膜に要求される性能の一つとして、フォトレジスト膜に対してエッチング選択比が高い、即ちフォトレジスト膜に対してエッチングスピードが速いことが挙げられる。一般に、珪素を含む材料を用いた反射防止膜は、フルオロカーボン系のガスを用いたエッチング条件において、エッチング速度が速く、フォトレジスト膜に対して高いエッチング選択比が得られることが知られており、珪素原子を含む反射防止膜を用いることによってエッチングの選択比を飛躍的に高めることができると考えられる。例えば、フェニル基がペンダントされたポリシランを骨格とするKrFリソグラフィー用の反射防止膜が提案され(例えば、特開平11−60735号公報参照。)、高いエッチング選択比が達成されている。
本発明は、リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料を提供する。
尚、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物のブレンド比としては、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物の重量を1とした時、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物を、0.1以上10以下の範囲でブレンドするのが好ましく、0.2以上5以下の範囲でブレンドするのがより好ましい。
尚、一般式(1)、(2)、(3)で示される繰り返し単位を含む高分子化合物の分子量(Mw)は、それぞれ1,000〜1,000,000の範囲が好ましく、より好ましくは1,500〜500,000の範囲である。
架橋基にエポキシ基を含有するものは、酸触媒による縮合反応時に開環してアルコール体にすることもできる。
このように、本発明の反射防止膜材料が、さらに有機溶剤及び/又は酸発生剤を含有することで、基板等への塗布後のベーク等により、反射防止膜内での架橋反応を促進することができる。したがって、このような反射防止膜は、フォトレジスト膜とのミキシングの恐れが少なく、フォトレジスト膜への酸の拡散が少ないものとなる。
i.)下記一般式(P1a−1)、(P1a−2)、(P1a−3)又は(P1b)のオニウム塩、
ii.)下記一般式(P2)のジアゾメタン誘導体、
iii.)下記一般式(P3)のグリオキシム誘導体、
iv.)下記一般式(P4)のビススルホン誘導体、
v.)下記一般式(P5)のN−ヒドロキシイミド化合物のスルホン酸エステル、
vi.)β−ケトスルホン酸誘導体、
vii.)ジスルホン誘導体、
viii.)ニトロベンジルスルホネート誘導体、
ix.)スルホン酸エステル誘導体
等が挙げられる。
又、R101d、R101e、R101f、R101gが式中の窒素原子を環の中に有する複素芳香族環は、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、1−メチル−2−ピリドン、4−ピロリジノピリジン、1−メチル−4−フェニルピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。
(P1a−1)と(P1a−2)は光酸発生剤、熱酸発生剤の両方の効果があるが、(P1a−3)は熱酸発生剤として作用する。
ビス(ベンゼンスルホニル)ジアゾメタン、ビス(p−トルエンスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(n−ブチルスルホニル)ジアゾメタン、ビス(イソブチルスルホニル)ジアゾメタン、ビス(sec−ブチルスルホニル)ジアゾメタン、ビス(n−プロピルスルホニル)ジアゾメタン、ビス(イソプロピルスルホニル)ジアゾメタン、ビス(tert−ブチルスルホニル)ジアゾメタン等のジアゾメタン誘導体、
ビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム等のグリオキシム誘導体、
ビスナフチルスルホニルメタン等のビススルホン誘導体、
N−ヒドロキシスクシンイミドメタンスルホン酸エステル、N−ヒドロキシスクシンイミドトリフルオロメタンスルホン酸エステル、N−ヒドロキシスクシンイミド1−プロパンスルホン酸エステル、N−ヒドロキシスクシンイミド2−プロパンスルホン酸エステル、N−ヒドロキシスクシンイミド1−ペンタンスルホン酸エステル、N−ヒドロキシスクシンイミドp−トルエンスルホン酸エステル、N−ヒドロキシナフタルイミドメタンスルホン酸エステル、N−ヒドロキシナフタルイミドベンゼンスルホン酸エステル等のN−ヒドロキシイミド化合物のスルホン酸エステル誘導体が好ましく用いられる。
酸発生剤の添加量は、ベースポリマー100部(重量部、以下同様)に対して好ましくは0.1〜50部、より好ましくは0.5〜40部である。0.1部より少ないと酸発生量が少なく、架橋反応が不十分な場合があり、50部を超えると上層のフォトレジスト膜へ酸が移動することによるミキシング現象が起こる場合がある。
このように、上記本発明の反射防止膜材料が、さらに架橋剤を含有することで、基板等への塗布後のベーク等により、反射防止膜内での架橋反応を一層促進することができる。
イソシアネート化合物としては、トリレンジイソシアネート、ジフェニルメタンジイソシアネート、ヘキサメチレンジイソシアネート、シクロヘキサンジイソシアネート等が挙げられ、アジド化合物としては、1,1’−ビフェニル−4,4’−ビスアジド、4,4’−メチリデンビスアジド、4,4’−オキシビスアジドが挙げられる。
先ず、図2(a)に示すレジストパターン形成までについて説明する。
反射防止膜10は、スピンコート法などで本発明の反射防止膜材料を基板12上に塗布して形成することが可能である。スピンコートなどで塗布後、有機溶剤を蒸発し、上層となるフォトレジスト膜11とのミキシング防止のため、ベークして架橋反応を促進させることが望ましい。ベーク温度は80〜300℃の範囲内で、ベーク時間は10秒から300秒の範囲内が好ましく用いられる。
フォトレジスト膜11をマスクとして反射防止膜10をエッチングするには、フロン系ガス、窒素ガス、炭酸ガスなどを使ってエッチングを行う。本発明の反射防止膜材料から形成された反射防止膜10は、前記ガスに対するエッチング速度が速く、上層のフォトレジスト膜11の膜減りが小さいという特徴がある。
従って、基板12の被加工層12aをエッチング除去してパターンを作成する場合、フォトレジスト膜11をマスクとしてもよいし、パターンが形成された反射防止膜10をマスクとして加工するようにしてもよい。
基板22上に有機膜23をスピンコート法などで形成する。この有機膜23は、基板22をエッチングするときのマスクとして作用するので、エッチング耐性が高いことが望ましく、上層の珪素含有反射防止膜20とミキシングしないことが求められるので、スピンコート等で塗布した後に熱あるいは酸によって架橋することが望ましい。この有機膜23の上に本発明の反射防止膜材料から形成する反射防止膜20、フォトレジスト膜21を前記方法と同様の方法で作成する。その後、パターン回路領域の露光、現像液での現像によってレジストパターンを得る(図3(a))。
ここで、有機膜としては、クレゾールノボラック、ナフトールノボラック、カトールジシクロペンタジエンノボラック、アモルファスカーボン、ポリヒドロキシスチレン、(メタ)アクリレート、ポリイミド、ポリスルフォン等の樹脂が挙げられる。
(合成例1)
テトラヒドロフラン(THF)200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gと3−アセトキシプロピルトリメトキシシラン22.3gを溶解させ液温を35℃にし、37%塩酸水を21g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応、塩酸の付加反応を行った。
分子量(Mw)=3300
共重合比 a1:b1:c1=0.35:0.3:0.35
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gと3−アセトキシプロピルトリメトキシシラン22.3gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
分子量(Mw)=3100
共重合比 a1:b1:c1=0.3:0.3:0.4
テトラヒドロフラン200g、純水100gに2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン24.6gとフェニルトリメトキシシラン19.8gと2−シアノエチルトリメトキシシラン17.2gを溶解させ液温を35℃にし、テトラメチルアンモニウムヒドロキシドを1.7g添加し、その後60℃に昇温し、シラノールの縮合反応を行った。
分子量(Mw)=2500
共重合比 a1:b1:c1=0.26:0.31:0.43
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gと3−ブチル酸メチルトリメトキシシラン22.3gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
分子量(Mw)=3800
共重合比 a1:b1:c1=0.42:0.28:0.30
テトラヒドロフラン200g、純水100gにトリス(トリメチルシリル)シリルエチルトリメトキシシラン15.9gと3-グリシドキシプロピルトリエトキシシラン28.3gと3−アセトキシプロピルトリメトキシシラン22.3gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
分子量(Mw)=2500
共重合比 a1:b1:c1=0.34:0.38:0.28
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gとメタノール12gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応とメトキシ化を行った。
分子量(Mw)=4200
共重合比 a2:b2=0.65:0.35
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gと2−アセトキシプロピルトリメトキシシラン48.8gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー7を得た。
分子量(Mw)=4500
共重合比 a3:c2=0.28:0.72
テトラヒドロフラン200g、純水100gに2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン24.6gとフェニルトリメトキシシラン19.8gとスピロ[2−オキソオキソラン−3,5’−ビシクロ[2.2.1]ヘプタントリメトキシシラン]28.6gを溶解させ液温を35℃にし、テトラメチルアンモニウムヒドロキシドを1.7g添加し、その後60℃に昇温し、シラノールの縮合反応を行った。
前記反応液にジエチルエーテル200g加え水層を分別し、有機液層1%の酢酸とを超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー8を得た。
分子量(Mw)=2300
共重合比 a1:b1:c1=0.32:0.30:0.38
テトラヒドロフラン(THF)200g、純水100gにフェニルトリメトキシシラン39.6gと3-グリシドキシプロピルトリエトキシシラン28.3g、メタノール12gを溶解させ液温を35℃にし、37%塩酸水を21g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応、メトキシ化反応を行った。
分子量(Mw)=4500
共重合比 h:i=0.72:0.28
[反射防止膜材料の調整]
上記合成例1〜8、比較合成例1で得られた高分子化合物を用いて、FC−430(住友スリーエム社製)0.1重量%を含む有機溶剤中に表1に示す割合で溶解させ、0.1μmの弗素樹脂製のフィルターでろ過することによって反射防止膜材料(実施例1〜9、比較例1)をそれぞれ調製した。
ポリマー1〜8: 合成例1〜8より、
比較ポリマー1: 比較合成例1より、
架橋剤: CR1、CR2、OH−Additive1(下記構造式参照。)、
反射防止膜を形成後、J.A.ウーラム社の入射角度可変の分光エリプソメーター(VASE)で波長193nmにおける反射防止膜の屈折率(n,k)を求め結果を表1に示した。
フォトレジスト膜材料のベース樹脂として下記重合体(ポリマーA〜ポリマーC)を準備した。
共重合比 s:t=0.40:0.60
分子量(Mw)=8800
共重合比 w:x=0.40:0.60
重量平均分子量(Mw)=18300
表2中の各組成は次の通りである。
ポリマー:ポリマーA〜ポリマーC、
酸発生剤:PAG1(下記構造式参照。)、
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)。
(1)パターン形状の観察
上記調製した反射防止膜材料(実施例1〜9、比較例1)をシリコン基板上に塗布して、200℃で120秒間ベークして膜厚193nmの反射防止膜を形成した。
前記反射防止膜材料(実施例1〜9、比較例1)から形成した反射防止膜、及び前記フォトレジスト膜材料(フォトレジス膜材料1〜3)から形成したフォトレジスト膜のエッチング耐性について、以下のような2系統の条件で評価した。
東京エレクトロン株式会社製ドライエッチング装置TE−8500Pを用い、エッチング前後の反射防止膜、フォトレジスト膜、SiO2膜の膜厚差を測定した。
エッチング条件は下記に示す通りである。
チャンバー圧力 40Pa
RFパワー 1,300W
ギヤップ 9mm
CHF3ガス流量 30ml/min
CF4ガス流量 30ml/min
Arガス流量 100ml/min
時間 10sec
この結果を表4に示した。
日電アネルバ株式会社製ドライエッチング装置L−507D−Lを用い、エッチング前後の反射防止膜、p−Siの膜厚差を求めた。
エッチング条件は下記に示す通りである。
チャンバー圧力 40.0Pa
RFパワー 300W
ギャップ 9mm
Cl2ガス流量 30ml/min
BCl3ガス流量 30ml/min
CHF3ガス流量 100ml/min
O2ガス流量 2ml/min
時間 60sec
この結果を表5に示した。
12a,22a…被加工層、 12b,22b…下地層、 23…有機膜。
Claims (9)
- リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料。
- 請求項1又は請求項2に記載した反射防止膜材料であって、さらに有機溶剤及び/又は酸発生剤を含有するものであることを特徴とする反射防止膜材料。
- 請求項1乃至請求項3のいずれか1項に記載した反射防止膜材料であって、さらに架橋剤を含有するものであることを特徴とする反射防止膜材料。
- 請求項1乃至請求項4のいずれか1項に記載の反射防止膜材料であって、該反射防止膜材料に含まれる高分子化合物が有する繰り返し単位中の前記光吸収基が、芳香族系の基又はSi−Si結合を有する基であることを特徴とする反射防止膜材料。
- 少なくとも、基板上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料をベークして得られる反射防止膜を有するものであることを特徴とする基板。
- リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜及び基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法。
- リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、さらにパターンが形成された反射防止膜をマスクにして基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法。
- リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に有機膜を形成し、該有機膜の上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、パターンが形成された反射防止膜をマスクにして有機膜をエッチングし、さらに基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法。
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003369596A JP4369203B2 (ja) | 2003-03-24 | 2003-10-29 | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 |
US10/797,201 US7163778B2 (en) | 2003-03-24 | 2004-03-11 | Anti-reflection film material and a substrate having an anti-reflection film and a method for forming a pattern |
TW093107775A TWI273347B (en) | 2003-03-24 | 2004-03-23 | Anti-reflection film material and substrate having anti-reflection film and method for forming pattern |
KR1020040019527A KR101001399B1 (ko) | 2003-03-24 | 2004-03-23 | 반사 방지막 재료, 반사 방지막을 갖는 기판 및 패턴 형성방법 |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003081205 | 2003-03-24 | ||
JP2003369596A JP4369203B2 (ja) | 2003-03-24 | 2003-10-29 | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004310019A true JP2004310019A (ja) | 2004-11-04 |
JP4369203B2 JP4369203B2 (ja) | 2009-11-18 |
Family
ID=32993015
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003369596A Expired - Lifetime JP4369203B2 (ja) | 2003-03-24 | 2003-10-29 | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US7163778B2 (ja) |
JP (1) | JP4369203B2 (ja) |
KR (1) | KR101001399B1 (ja) |
TW (1) | TWI273347B (ja) |
Cited By (407)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005015779A (ja) * | 2003-06-03 | 2005-01-20 | Shin Etsu Chem Co Ltd | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 |
JP2005018054A (ja) * | 2003-06-03 | 2005-01-20 | Shin Etsu Chem Co Ltd | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 |
JP2005338380A (ja) * | 2004-05-26 | 2005-12-08 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法 |
JP2005352104A (ja) * | 2004-06-10 | 2005-12-22 | Shin Etsu Chem Co Ltd | 反射防止膜材料、及びこれの製造方法、これを用いた反射防止膜、パターン形成 |
JP2006084799A (ja) * | 2004-09-16 | 2006-03-30 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物およびこれを用いた配線形成方法 |
WO2006093057A1 (ja) * | 2005-03-01 | 2006-09-08 | Jsr Corporation | レジスト下層膜用組成物およびその製造方法 |
JP2006317864A (ja) * | 2005-05-16 | 2006-11-24 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物、および配線形成方法 |
WO2006132089A1 (ja) * | 2005-06-07 | 2006-12-14 | Tokyo Ohka Kogyo Co., Ltd. | 反射防止膜形成用熱酸発生剤、反射防止膜形成用組成物、これを用いた反射防止膜 |
JP2006343416A (ja) * | 2005-06-07 | 2006-12-21 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物、それを用いた反射防止膜 |
WO2007066597A1 (ja) * | 2005-12-06 | 2007-06-14 | Nissan Chemical Industries, Ltd. | 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物 |
JP2007164149A (ja) * | 2005-11-21 | 2007-06-28 | Shin Etsu Chem Co Ltd | ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法 |
JP2007163846A (ja) * | 2005-12-14 | 2007-06-28 | Shin Etsu Chem Co Ltd | 反射防止膜材料、及びこれを用いたパターン形成方法、基板 |
JP2007165838A (ja) * | 2005-11-16 | 2007-06-28 | Shin Etsu Chem Co Ltd | フォトレジスト膜のリワーク方法 |
JP2007218943A (ja) * | 2006-02-14 | 2007-08-30 | Shin Etsu Chem Co Ltd | 基板及びパターン形成方法 |
JP2007226170A (ja) * | 2006-01-27 | 2007-09-06 | Shin Etsu Chem Co Ltd | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 |
JP2007226204A (ja) * | 2006-01-25 | 2007-09-06 | Shin Etsu Chem Co Ltd | 反射防止膜材料、基板、及びパターン形成方法 |
KR100796047B1 (ko) | 2006-11-21 | 2008-01-21 | 제일모직주식회사 | 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스 |
JP2008083668A (ja) * | 2006-08-30 | 2008-04-10 | Shin Etsu Chem Co Ltd | フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法 |
JP2008158002A (ja) * | 2006-12-20 | 2008-07-10 | Jsr Corp | レジスト下層膜用組成物及びその製造方法 |
JP2008525824A (ja) * | 2004-12-17 | 2008-07-17 | ダウ・コーニング・コーポレイション | 反射防止膜の形成方法 |
JP2008216530A (ja) * | 2007-03-02 | 2008-09-18 | Nissan Chem Ind Ltd | 多核フェノールを含むレジスト下層膜形成組成物 |
WO2009016848A1 (ja) * | 2007-08-02 | 2009-02-05 | Nippon Soda Co., Ltd. | シルセスキオキサンを含有する組成物及びシルセスキオキサン含有ヒドロキシアルキルセルロース樹脂組成物 |
WO2009101753A1 (ja) * | 2008-02-14 | 2009-08-20 | Lintec Corporation | ポリオルガノシロキサン化合物からなる成形材料、封止材及び光素子封止体 |
JP2010085878A (ja) * | 2008-10-02 | 2010-04-15 | Tokyo Ohka Kogyo Co Ltd | レジスト下層膜形成用組成物 |
US7871761B2 (en) | 2006-08-01 | 2011-01-18 | Shin-Etsu Chemical Co., Ltd. | Resist lower layer material, resist lower layer substrate comprising the material and method for forming pattern |
US8101341B2 (en) | 2009-01-15 | 2012-01-24 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
KR101127265B1 (ko) * | 2005-11-16 | 2012-04-12 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 포토레지스트막의 리워크 방법 |
EP2447775A1 (en) | 2010-11-01 | 2012-05-02 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8192921B2 (en) | 2009-01-15 | 2012-06-05 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
EP2461214A1 (en) | 2010-12-01 | 2012-06-06 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8216774B2 (en) | 2009-02-12 | 2012-07-10 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
EP2474861A1 (en) | 2011-01-05 | 2012-07-11 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
EP2476713A1 (en) | 2011-01-14 | 2012-07-18 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8283103B2 (en) | 2007-08-27 | 2012-10-09 | Nissan Chemical Industries, Ltd. | Composition for forming resist underlayer film for lithography and production method of semiconductor device |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US8323536B2 (en) | 2010-11-12 | 2012-12-04 | Shin-Etsu Chemical Co., Ltd. | Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film |
US8349533B2 (en) | 2008-11-07 | 2013-01-08 | Shin-Etsu Chemical Co., Ltd. | Resist lower-layer composition containing thermal acid generator, resist lower layer film-formed substrate, and patterning process |
KR101225417B1 (ko) | 2005-11-21 | 2013-01-22 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 규소 함유 반사 방지막 형성용 조성물, 규소 함유 반사방지막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법 |
KR20130102494A (ko) | 2012-03-07 | 2013-09-17 | 제이에스알 가부시끼가이샤 | 레지스트 하층막 형성용 조성물 및 패턴 형성 방법 |
KR20130111396A (ko) | 2012-03-29 | 2013-10-10 | 제이에스알 가부시끼가이샤 | 레지스트 하층막 형성용 조성물 및 패턴 형성 방법 |
WO2014069329A1 (ja) | 2012-10-31 | 2014-05-08 | 日産化学工業株式会社 | エステル基を有するシリコン含有レジスト下層膜形成組成物 |
US8722307B2 (en) | 2011-05-27 | 2014-05-13 | International Business Machines Corporation | Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer |
US8795955B2 (en) | 2010-06-21 | 2014-08-05 | Shin-Etsu Chemical Co., Ltd. | Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process |
JP2014157242A (ja) * | 2013-02-15 | 2014-08-28 | Shin Etsu Chem Co Ltd | レジスト下層膜形成用組成物及びパターン形成方法 |
US8835697B2 (en) | 2011-03-28 | 2014-09-16 | Shin-Etsu Chemical Co., Ltd. | Biphenyl derivative, resist bottom layer material, bottom layer forming method, and patterning process |
US8846846B2 (en) | 2010-09-10 | 2014-09-30 | Shin-Etsu Chemical Co., Ltd. | Naphthalene derivative, resist bottom layer material, and patterning process |
EP2816409A1 (en) | 2013-05-08 | 2014-12-24 | Shin-Etsu Chemical Co., Ltd. | Method for forming a resist under layer film and patterning process |
US9046764B2 (en) | 2012-01-04 | 2015-06-02 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition, method for producing polymer for resist underlayer film, and patterning process using the resist underlayer film composition |
KR20150097550A (ko) | 2012-12-19 | 2015-08-26 | 닛산 가가쿠 고교 가부시키 가이샤 | 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물 |
US9146468B2 (en) | 2011-10-11 | 2015-09-29 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
JP2015200796A (ja) * | 2014-04-08 | 2015-11-12 | メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 | 下層膜形成用組成物 |
US9250526B2 (en) | 2012-03-07 | 2016-02-02 | Jsr Corporation | Composition for forming resist underlayer film, and pattern-forming method |
US9268229B2 (en) | 2012-03-29 | 2016-02-23 | Jsr Corporation | Composition for forming resist underlayer film, and pattern-forming method |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US9384987B2 (en) | 2012-04-04 | 2016-07-05 | Asm Ip Holding B.V. | Metal oxide protective layer for a semiconductor device |
JP2016128925A (ja) * | 2012-02-09 | 2016-07-14 | 日産化学工業株式会社 | レジスト下層膜形成組成物 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9412564B2 (en) | 2013-07-22 | 2016-08-09 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9605342B2 (en) | 2012-09-12 | 2017-03-28 | Asm Ip Holding B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
WO2017141612A1 (ja) * | 2016-02-15 | 2017-08-24 | Jsr株式会社 | レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法 |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US9790595B2 (en) | 2013-07-12 | 2017-10-17 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9857686B2 (en) | 2015-07-13 | 2018-01-02 | Shin-Etsu Chemical Co., Ltd. | Composition for forming resist underlayer film and patterning process |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9892908B2 (en) | 2011-10-28 | 2018-02-13 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9891521B2 (en) | 2014-11-19 | 2018-02-13 | Asm Ip Holding B.V. | Method for depositing thin film |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899405B2 (en) | 2014-12-22 | 2018-02-20 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
WO2018079599A1 (ja) * | 2016-10-27 | 2018-05-03 | 日産化学工業株式会社 | ジヒドロキシ基を有する有機基を含むシリコン含有レジスト下層膜形成組成物 |
US9984891B2 (en) | 2016-04-21 | 2018-05-29 | Shin-Etsu Chemical Co., Ltd. | Method for forming organic film and method for manufacturing substrate for semiconductor apparatus |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
EP3508918A1 (en) | 2017-12-26 | 2019-07-10 | Shin-Etsu Chemical Co., Ltd. | Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
KR20190135026A (ko) | 2017-03-31 | 2019-12-05 | 닛산 가가쿠 가부시키가이샤 | 카르보닐구조를 갖는 실리콘함유 레지스트 하층막 형성 조성물 |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
KR20200020700A (ko) | 2017-06-16 | 2020-02-26 | 제이에스알 가부시끼가이샤 | 패턴 형성 방법 및 euv 리소그래피용 규소 함유막 형성 조성물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
EP3623867A1 (en) | 2018-09-13 | 2020-03-18 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
KR20200035012A (ko) | 2017-07-24 | 2020-04-01 | 제이에스알 가부시끼가이샤 | 극단 자외선 또는 전자선 리소그래피용 금속 함유막 형성 조성물, 극단 자외선 또는 전자선 리소그래피용 금속 함유막 및 패턴 형성 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
KR20200053495A (ko) | 2017-09-19 | 2020-05-18 | 제이에스알 가부시끼가이샤 | 레지스트 패턴 형성 방법 및 기판의 처리 방법 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
KR20200106499A (ko) | 2018-01-09 | 2020-09-14 | 제이에스알 가부시끼가이샤 | 패터닝된 기판의 제조 방법 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
Families Citing this family (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7303785B2 (en) | 2003-06-03 | 2007-12-04 | Shin-Etsu Chemical Co., Ltd. | Antireflective film material, and antireflective film and pattern formation method using the same |
US7202013B2 (en) * | 2003-06-03 | 2007-04-10 | Shin-Etsu Chemical Co., Ltd. | Antireflective film material, and antireflective film and pattern formation method using the same |
JP4553113B2 (ja) * | 2004-06-10 | 2010-09-29 | 信越化学工業株式会社 | 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜 |
US7279793B2 (en) * | 2004-12-08 | 2007-10-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for manufacturing semiconductor devices using an anti-reflective coating layer |
EP1720072B1 (en) | 2005-05-01 | 2019-06-05 | Rohm and Haas Electronic Materials, L.L.C. | Compositons and processes for immersion lithography |
US20060292876A1 (en) * | 2005-06-21 | 2006-12-28 | Tokyo Electron Limited | Plasma etching method and apparatus, control program and computer-readable storage medium |
EP1762895B1 (en) * | 2005-08-29 | 2016-02-24 | Rohm and Haas Electronic Materials, L.L.C. | Antireflective Hard Mask Compositions |
JP4597844B2 (ja) * | 2005-11-21 | 2010-12-15 | 信越化学工業株式会社 | フォトレジスト膜のリワーク方法 |
KR100725795B1 (ko) * | 2005-12-26 | 2007-06-08 | 제일모직주식회사 | 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법 |
KR100713231B1 (ko) * | 2005-12-26 | 2007-05-02 | 제일모직주식회사 | 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법 |
US7585613B2 (en) * | 2006-01-25 | 2009-09-08 | Shin-Etsu Chemical Co., Ltd. | Antireflection film composition, substrate, and patterning process |
US20070231736A1 (en) * | 2006-03-28 | 2007-10-04 | Chen Kuang-Jung J | Bottom antireflective coating composition and method for use thereof |
DE602007000498D1 (de) * | 2006-04-11 | 2009-03-12 | Shinetsu Chemical Co | Siliziumhaltige, folienbildende Zusammensetzung, siliziumhaltige Folie, siliziumhaltiges, folientragendes Substrat und Strukturierungsverfahren |
JP4548616B2 (ja) | 2006-05-15 | 2010-09-22 | 信越化学工業株式会社 | 熱酸発生剤及びこれを含むレジスト下層膜材料、並びにこのレジスト下層膜材料を用いたパターン形成方法 |
US20070298349A1 (en) * | 2006-06-22 | 2007-12-27 | Ruzhi Zhang | Antireflective Coating Compositions Comprising Siloxane Polymer |
EP2420892A1 (en) * | 2006-10-30 | 2012-02-22 | Rohm and Haas Electronic Materials LLC | Compositions and processes for immersion lithography |
KR101523393B1 (ko) * | 2007-02-27 | 2015-05-27 | 이엠디 퍼포먼스 머티리얼스 코프. | 규소를 주성분으로 하는 반사 방지 코팅 조성물 |
US20080241489A1 (en) * | 2007-03-30 | 2008-10-02 | Renesas Technology Corp. | Method of forming resist pattern and semiconductor device manufactured with the same |
KR101485844B1 (ko) | 2007-04-06 | 2015-01-26 | 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 | 코팅 조성물 |
JP2008309929A (ja) * | 2007-06-13 | 2008-12-25 | Tokyo Ohka Kogyo Co Ltd | レジスト下層膜形成用組成物およびレジスト下層膜 |
EP2056162B1 (en) | 2007-11-05 | 2016-05-04 | Rohm and Haas Electronic Materials LLC | Process for immersion lithography |
JP2009117832A (ja) | 2007-11-06 | 2009-05-28 | Asml Netherlands Bv | リソグラフィの基板を準備する方法、基板、デバイス製造方法、密封コーティングアプリケータ及び密封コーティング測定装置 |
US8772376B2 (en) | 2009-08-18 | 2014-07-08 | International Business Machines Corporation | Near-infrared absorbing film compositions |
US8323876B1 (en) * | 2010-06-18 | 2012-12-04 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit devices using anti-penetration films to block acid transfer into anti-reflective coatings |
JP5902529B2 (ja) * | 2012-03-28 | 2016-04-13 | 株式会社ディスコ | レーザ加工方法 |
TWI443465B (zh) * | 2012-04-23 | 2014-07-01 | Chi Mei Corp | 感光性聚矽氧烷組成物、保護膜及具有保護膜的元件 |
KR102099712B1 (ko) * | 2013-01-15 | 2020-04-10 | 삼성전자주식회사 | 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법 |
KR102397179B1 (ko) * | 2018-12-21 | 2022-05-11 | 삼성에스디아이 주식회사 | 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법 |
Family Cites Families (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3741932A (en) * | 1972-04-10 | 1973-06-26 | Minnesota Mining & Mfg | Curable epoxy organopolysiloxanes having pendant chromophoric groups |
JPS56129261A (en) | 1980-03-17 | 1981-10-09 | Hitachi Ltd | Thin film-forming coating liquid composition |
JPS5783563A (en) | 1980-11-12 | 1982-05-25 | Fujitsu Ltd | Liquid resin composition |
JPS57131250A (en) | 1981-02-09 | 1982-08-14 | Fujitsu Ltd | Silicone resin composition |
JP2999603B2 (ja) | 1990-09-14 | 2000-01-17 | ヒュンダイ エレクトロニクス アメリカ | スピンオングラス組成物、ハードマスクおよびハードマスク製造法 |
JP3118887B2 (ja) | 1990-11-30 | 2000-12-18 | 株式会社日立製作所 | パターン形成方法 |
US6165697A (en) | 1991-11-15 | 2000-12-26 | Shipley Company, L.L.C. | Antihalation compositions |
US5294680A (en) * | 1992-07-24 | 1994-03-15 | International Business Machines Corporation | Polymeric dyes for antireflective coatings |
JP3082473B2 (ja) | 1992-10-05 | 2000-08-28 | ジェイエスアール株式会社 | 反射防止膜およびレジストパターンの形成方法 |
JPH06138664A (ja) | 1992-10-26 | 1994-05-20 | Mitsubishi Electric Corp | パターン形成方法 |
JP3568563B2 (ja) | 1993-09-03 | 2004-09-22 | 呉羽化学工業株式会社 | 二次電池電極用炭素質材料およびその製造法 |
JP3287119B2 (ja) | 1994-07-13 | 2002-05-27 | 住友化学工業株式会社 | シリカ系絶縁膜形成用塗布液 |
JP2953562B2 (ja) | 1994-07-18 | 1999-09-27 | 東京応化工業株式会社 | リソグラフィー用下地材及びそれを用いた多層レジスト材料 |
JPH08179509A (ja) | 1994-10-28 | 1996-07-12 | Mitsubishi Chem Corp | 反射防止組成物及びレジストパターン形成方法 |
JPH1069072A (ja) | 1996-08-28 | 1998-03-10 | Tokyo Ohka Kogyo Co Ltd | リソグラフィー用下地材 |
JPH1160735A (ja) | 1996-12-09 | 1999-03-05 | Toshiba Corp | ポリシランおよびパターン形成方法 |
US6069170A (en) * | 1997-08-05 | 2000-05-30 | Roche Vitamins Inc. | Light screening compounds and compositions |
TW546542B (en) * | 1997-08-06 | 2003-08-11 | Shinetsu Chemical Co | High molecular weight silicone compounds, resist compositions, and patterning method |
JP4096138B2 (ja) | 1999-04-12 | 2008-06-04 | Jsr株式会社 | レジスト下層膜用組成物の製造方法 |
US6890448B2 (en) | 1999-06-11 | 2005-05-10 | Shipley Company, L.L.C. | Antireflective hard mask compositions |
JP4248098B2 (ja) | 1999-09-20 | 2009-04-02 | 東京応化工業株式会社 | 反射防止膜形成用組成物及びレジストパターンの形成方法 |
JP3795333B2 (ja) | 2000-03-30 | 2006-07-12 | 東京応化工業株式会社 | 反射防止膜形成用組成物 |
US6420088B1 (en) * | 2000-06-23 | 2002-07-16 | International Business Machines Corporation | Antireflective silicon-containing compositions as hardmask layer |
-
2003
- 2003-10-29 JP JP2003369596A patent/JP4369203B2/ja not_active Expired - Lifetime
-
2004
- 2004-03-11 US US10/797,201 patent/US7163778B2/en not_active Expired - Lifetime
- 2004-03-23 KR KR1020040019527A patent/KR101001399B1/ko active IP Right Grant
- 2004-03-23 TW TW093107775A patent/TWI273347B/zh not_active IP Right Cessation
Cited By (549)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005018054A (ja) * | 2003-06-03 | 2005-01-20 | Shin Etsu Chem Co Ltd | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 |
JP4700929B2 (ja) * | 2003-06-03 | 2011-06-15 | 信越化学工業株式会社 | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 |
JP2005015779A (ja) * | 2003-06-03 | 2005-01-20 | Shin Etsu Chem Co Ltd | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 |
JP2005338380A (ja) * | 2004-05-26 | 2005-12-08 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法 |
JP4563076B2 (ja) * | 2004-05-26 | 2010-10-13 | 東京応化工業株式会社 | 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法 |
JP2005352104A (ja) * | 2004-06-10 | 2005-12-22 | Shin Etsu Chem Co Ltd | 反射防止膜材料、及びこれの製造方法、これを用いた反射防止膜、パターン形成 |
JP4491283B2 (ja) * | 2004-06-10 | 2010-06-30 | 信越化学工業株式会社 | 反射防止膜形成用組成物を用いたパターン形成方法 |
JP2006084799A (ja) * | 2004-09-16 | 2006-03-30 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物およびこれを用いた配線形成方法 |
JP4541080B2 (ja) * | 2004-09-16 | 2010-09-08 | 東京応化工業株式会社 | 反射防止膜形成用組成物およびこれを用いた配線形成方法 |
JP2008525824A (ja) * | 2004-12-17 | 2008-07-17 | ダウ・コーニング・コーポレイション | 反射防止膜の形成方法 |
JP4688882B2 (ja) * | 2004-12-17 | 2011-05-25 | ダウ・コーニング・コーポレイション | 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法及び電子デバイスの製造方法 |
JP4692540B2 (ja) * | 2005-03-01 | 2011-06-01 | Jsr株式会社 | レジスト下層膜用組成物およびその製造方法 |
JPWO2006093057A1 (ja) * | 2005-03-01 | 2008-08-07 | Jsr株式会社 | レジスト下層膜用組成物およびその製造方法 |
TWI383262B (zh) * | 2005-03-01 | 2013-01-21 | Jsr Corp | Composition for photoresist underlayer film and method for producing the same |
US8808446B2 (en) | 2005-03-01 | 2014-08-19 | Jsr Corporation | Composition for resist underlayer film and process for producing same |
WO2006093057A1 (ja) * | 2005-03-01 | 2006-09-08 | Jsr Corporation | レジスト下層膜用組成物およびその製造方法 |
US8968458B2 (en) | 2005-03-01 | 2015-03-03 | Jsr Corporation | Composition for resist underlayer film and process for producing same |
KR100882794B1 (ko) * | 2005-03-01 | 2009-02-09 | 제이에스알 가부시끼가이샤 | 레지스트 하층막용 조성물 및 그의 제조 방법 |
JP2006317864A (ja) * | 2005-05-16 | 2006-11-24 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物、および配線形成方法 |
JP4583237B2 (ja) * | 2005-05-16 | 2010-11-17 | 東京応化工業株式会社 | 反射防止膜形成用組成物、および配線形成方法 |
WO2006132089A1 (ja) * | 2005-06-07 | 2006-12-14 | Tokyo Ohka Kogyo Co., Ltd. | 反射防止膜形成用熱酸発生剤、反射防止膜形成用組成物、これを用いた反射防止膜 |
JP5080250B2 (ja) * | 2005-06-07 | 2012-11-21 | 東京応化工業株式会社 | 反射防止膜形成用組成物、およびこれを用いた反射防止膜 |
JP4602842B2 (ja) * | 2005-06-07 | 2010-12-22 | 東京応化工業株式会社 | 反射防止膜形成用組成物、それを用いた反射防止膜 |
US7785768B2 (en) | 2005-06-07 | 2010-08-31 | Tokyo Ohka Kogyo Co. Ltd. | Thermoacid generator for antireflection film formation, composition for antireflection film formation, and antireflection film made therefrom |
JP2006343416A (ja) * | 2005-06-07 | 2006-12-21 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用組成物、それを用いた反射防止膜 |
JP2012128436A (ja) * | 2005-06-07 | 2012-07-05 | Tokyo Ohka Kogyo Co Ltd | 反射防止膜形成用熱酸発生剤、反射防止膜形成用組成物、およびこれを用いた反射防止膜 |
JP2007165838A (ja) * | 2005-11-16 | 2007-06-28 | Shin Etsu Chem Co Ltd | フォトレジスト膜のリワーク方法 |
KR101127265B1 (ko) * | 2005-11-16 | 2012-04-12 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 포토레지스트막의 리워크 방법 |
JP2007164149A (ja) * | 2005-11-21 | 2007-06-28 | Shin Etsu Chem Co Ltd | ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法 |
KR101225417B1 (ko) | 2005-11-21 | 2013-01-22 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 규소 함유 반사 방지막 형성용 조성물, 규소 함유 반사방지막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법 |
JP5110283B2 (ja) * | 2005-12-06 | 2012-12-26 | 日産化学工業株式会社 | 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物 |
WO2007066597A1 (ja) * | 2005-12-06 | 2007-06-14 | Nissan Chemical Industries, Ltd. | 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物 |
CN101322074B (zh) * | 2005-12-06 | 2013-01-23 | 日产化学工业株式会社 | 用于形成光交联固化的抗蚀剂下层膜的含有硅的抗蚀剂下层膜形成用组合物 |
US8048615B2 (en) | 2005-12-06 | 2011-11-01 | Nissan Chemical Industries, Ltd. | Silicon-containing resist underlayer coating forming composition for forming photo-crosslinking cured resist underlayer coating |
JP4553835B2 (ja) * | 2005-12-14 | 2010-09-29 | 信越化学工業株式会社 | 反射防止膜材料、及びこれを用いたパターン形成方法、基板 |
JP2007163846A (ja) * | 2005-12-14 | 2007-06-28 | Shin Etsu Chem Co Ltd | 反射防止膜材料、及びこれを用いたパターン形成方法、基板 |
JP2007226204A (ja) * | 2006-01-25 | 2007-09-06 | Shin Etsu Chem Co Ltd | 反射防止膜材料、基板、及びパターン形成方法 |
JP2007226170A (ja) * | 2006-01-27 | 2007-09-06 | Shin Etsu Chem Co Ltd | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 |
JP4638380B2 (ja) * | 2006-01-27 | 2011-02-23 | 信越化学工業株式会社 | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 |
JP2007218943A (ja) * | 2006-02-14 | 2007-08-30 | Shin Etsu Chem Co Ltd | 基板及びパターン形成方法 |
US7871761B2 (en) | 2006-08-01 | 2011-01-18 | Shin-Etsu Chemical Co., Ltd. | Resist lower layer material, resist lower layer substrate comprising the material and method for forming pattern |
JP2008083668A (ja) * | 2006-08-30 | 2008-04-10 | Shin Etsu Chem Co Ltd | フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法 |
KR100796047B1 (ko) | 2006-11-21 | 2008-01-21 | 제일모직주식회사 | 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스 |
JP2008158002A (ja) * | 2006-12-20 | 2008-07-10 | Jsr Corp | レジスト下層膜用組成物及びその製造方法 |
JP2008216530A (ja) * | 2007-03-02 | 2008-09-18 | Nissan Chem Ind Ltd | 多核フェノールを含むレジスト下層膜形成組成物 |
WO2009016848A1 (ja) * | 2007-08-02 | 2009-02-05 | Nippon Soda Co., Ltd. | シルセスキオキサンを含有する組成物及びシルセスキオキサン含有ヒドロキシアルキルセルロース樹脂組成物 |
US8304501B2 (en) | 2007-08-02 | 2012-11-06 | Nippon Soda Co., Ltd. | Composition containing silsesquioxane and silsesquioxane-containing hydroxyalkyl cellulose resin composition |
US8283103B2 (en) | 2007-08-27 | 2012-10-09 | Nissan Chemical Industries, Ltd. | Composition for forming resist underlayer film for lithography and production method of semiconductor device |
WO2009101753A1 (ja) * | 2008-02-14 | 2009-08-20 | Lintec Corporation | ポリオルガノシロキサン化合物からなる成形材料、封止材及び光素子封止体 |
US8507044B2 (en) | 2008-02-14 | 2013-08-13 | Lintec Corporation | Molding material composed of polyorganosiloxane compound, sealing material, and sealed optical device |
JP2010085878A (ja) * | 2008-10-02 | 2010-04-15 | Tokyo Ohka Kogyo Co Ltd | レジスト下層膜形成用組成物 |
US8349533B2 (en) | 2008-11-07 | 2013-01-08 | Shin-Etsu Chemical Co., Ltd. | Resist lower-layer composition containing thermal acid generator, resist lower layer film-formed substrate, and patterning process |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8101341B2 (en) | 2009-01-15 | 2012-01-24 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US8192921B2 (en) | 2009-01-15 | 2012-06-05 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US8216774B2 (en) | 2009-02-12 | 2012-07-10 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8795955B2 (en) | 2010-06-21 | 2014-08-05 | Shin-Etsu Chemical Co., Ltd. | Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process |
US8846846B2 (en) | 2010-09-10 | 2014-09-30 | Shin-Etsu Chemical Co., Ltd. | Naphthalene derivative, resist bottom layer material, and patterning process |
US9045587B2 (en) | 2010-09-10 | 2015-06-02 | Shin-Etsu Chemical Co., Ltd. | Naphthalene derivative, resist bottom layer material, and patterning process |
EP2447775A1 (en) | 2010-11-01 | 2012-05-02 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8877422B2 (en) | 2010-11-01 | 2014-11-04 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8323536B2 (en) | 2010-11-12 | 2012-12-04 | Shin-Etsu Chemical Co., Ltd. | Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film |
US8592956B2 (en) | 2010-12-01 | 2013-11-26 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
EP2461214A1 (en) | 2010-12-01 | 2012-06-06 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8663898B2 (en) | 2011-01-05 | 2014-03-04 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
EP2474861A1 (en) | 2011-01-05 | 2012-07-11 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8853031B2 (en) | 2011-01-14 | 2014-10-07 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
EP2476713A1 (en) | 2011-01-14 | 2012-07-18 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US8835697B2 (en) | 2011-03-28 | 2014-09-16 | Shin-Etsu Chemical Co., Ltd. | Biphenyl derivative, resist bottom layer material, bottom layer forming method, and patterning process |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US8722307B2 (en) | 2011-05-27 | 2014-05-13 | International Business Machines Corporation | Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US9146468B2 (en) | 2011-10-11 | 2015-09-29 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition and patterning process using the same |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US9892908B2 (en) | 2011-10-28 | 2018-02-13 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9046764B2 (en) | 2012-01-04 | 2015-06-02 | Shin-Etsu Chemical Co., Ltd. | Resist underlayer film composition, method for producing polymer for resist underlayer film, and patterning process using the resist underlayer film composition |
KR102072499B1 (ko) | 2012-02-09 | 2020-02-03 | 닛산 가가쿠 가부시키가이샤 | 막형성 조성물 및 이온주입방법 |
KR20190039354A (ko) * | 2012-02-09 | 2019-04-10 | 닛산 가가쿠 가부시키가이샤 | 막형성 조성물 및 이온주입방법 |
JP2016128925A (ja) * | 2012-02-09 | 2016-07-14 | 日産化学工業株式会社 | レジスト下層膜形成組成物 |
US9250526B2 (en) | 2012-03-07 | 2016-02-02 | Jsr Corporation | Composition for forming resist underlayer film, and pattern-forming method |
KR20130102494A (ko) | 2012-03-07 | 2013-09-17 | 제이에스알 가부시끼가이샤 | 레지스트 하층막 형성용 조성물 및 패턴 형성 방법 |
KR20130111396A (ko) | 2012-03-29 | 2013-10-10 | 제이에스알 가부시끼가이샤 | 레지스트 하층막 형성용 조성물 및 패턴 형성 방법 |
US9268229B2 (en) | 2012-03-29 | 2016-02-23 | Jsr Corporation | Composition for forming resist underlayer film, and pattern-forming method |
US9384987B2 (en) | 2012-04-04 | 2016-07-05 | Asm Ip Holding B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10023960B2 (en) | 2012-09-12 | 2018-07-17 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9605342B2 (en) | 2012-09-12 | 2017-03-28 | Asm Ip Holding B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
KR20150081269A (ko) | 2012-10-31 | 2015-07-13 | 닛산 가가쿠 고교 가부시키 가이샤 | 에스테르기를 갖는 실리콘함유 레지스트 하층막 형성조성물 |
US10372039B2 (en) | 2012-10-31 | 2019-08-06 | Nissan Chemical Industries, Ltd. | Resist underlayer film forming composition containing silicon having ester group |
WO2014069329A1 (ja) | 2012-10-31 | 2014-05-08 | 日産化学工業株式会社 | エステル基を有するシリコン含有レジスト下層膜形成組成物 |
US9290623B2 (en) | 2012-12-19 | 2016-03-22 | Nissan Chemical Industries, Ltd. | Composition for forming silicon-containing resist underlayer film having cyclic diester group |
KR20150097550A (ko) | 2012-12-19 | 2015-08-26 | 닛산 가가쿠 고교 가부시키 가이샤 | 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물 |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
JP2014157242A (ja) * | 2013-02-15 | 2014-08-28 | Shin Etsu Chem Co Ltd | レジスト下層膜形成用組成物及びパターン形成方法 |
US9315670B2 (en) | 2013-02-15 | 2016-04-19 | Shin-Etsu Chemical Co., Ltd. | Composition for forming resist underlayer film and patterning process |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
EP2816409A1 (en) | 2013-05-08 | 2014-12-24 | Shin-Etsu Chemical Co., Ltd. | Method for forming a resist under layer film and patterning process |
US9230827B2 (en) | 2013-05-08 | 2016-01-05 | Shin-Etsu Chemical Co., Ltd. | Method for forming a resist under layer film and patterning process |
US9790595B2 (en) | 2013-07-12 | 2017-10-17 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9412564B2 (en) | 2013-07-22 | 2016-08-09 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP2015200796A (ja) * | 2014-04-08 | 2015-11-12 | メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 | 下層膜形成用組成物 |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US9891521B2 (en) | 2014-11-19 | 2018-02-13 | Asm Ip Holding B.V. | Method for depositing thin film |
US9899405B2 (en) | 2014-12-22 | 2018-02-20 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9857686B2 (en) | 2015-07-13 | 2018-01-02 | Shin-Etsu Chemical Co., Ltd. | Composition for forming resist underlayer film and patterning process |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
JPWO2017141612A1 (ja) * | 2016-02-15 | 2018-12-13 | Jsr株式会社 | レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法 |
WO2017141612A1 (ja) * | 2016-02-15 | 2017-08-24 | Jsr株式会社 | レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法 |
JP6997373B2 (ja) | 2016-02-15 | 2022-01-17 | Jsr株式会社 | レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法 |
US11320739B2 (en) | 2016-02-15 | 2022-05-03 | Jsr Corporation | Composition for resist underlayer film formation, resist underlayer film and method for producing patterned substrate |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US9984891B2 (en) | 2016-04-21 | 2018-05-29 | Shin-Etsu Chemical Co., Ltd. | Method for forming organic film and method for manufacturing substrate for semiconductor apparatus |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
WO2018079599A1 (ja) * | 2016-10-27 | 2018-05-03 | 日産化学工業株式会社 | ジヒドロキシ基を有する有機基を含むシリコン含有レジスト下層膜形成組成物 |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
CN109891321A (zh) * | 2016-10-27 | 2019-06-14 | 日产化学株式会社 | 包含具有二羟基的有机基的含有硅的抗蚀剂下层膜形成用组合物 |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US12000042B2 (en) | 2016-12-15 | 2024-06-04 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11970766B2 (en) | 2016-12-15 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
KR20190135026A (ko) | 2017-03-31 | 2019-12-05 | 닛산 가가쿠 가부시키가이샤 | 카르보닐구조를 갖는 실리콘함유 레지스트 하층막 형성 조성물 |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
KR20200020700A (ko) | 2017-06-16 | 2020-02-26 | 제이에스알 가부시끼가이샤 | 패턴 형성 방법 및 euv 리소그래피용 규소 함유막 형성 조성물 |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11976361B2 (en) | 2017-06-28 | 2024-05-07 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
KR20200035012A (ko) | 2017-07-24 | 2020-04-01 | 제이에스알 가부시끼가이샤 | 극단 자외선 또는 전자선 리소그래피용 금속 함유막 형성 조성물, 극단 자외선 또는 전자선 리소그래피용 금속 함유막 및 패턴 형성 방법 |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR20200053495A (ko) | 2017-09-19 | 2020-05-18 | 제이에스알 가부시끼가이샤 | 레지스트 패턴 형성 방법 및 기판의 처리 방법 |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US12033861B2 (en) | 2017-10-05 | 2024-07-09 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US12040184B2 (en) | 2017-10-30 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
EP3508918A1 (en) | 2017-12-26 | 2019-07-10 | Shin-Etsu Chemical Co., Ltd. | Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process |
US11018015B2 (en) | 2017-12-26 | 2021-05-25 | Shin-Etsu Chemical Co., Ltd. | Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process |
KR20200106499A (ko) | 2018-01-09 | 2020-09-14 | 제이에스알 가부시끼가이샤 | 패터닝된 기판의 제조 방법 |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11972944B2 (en) | 2018-01-19 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US12020938B2 (en) | 2018-03-27 | 2024-06-25 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
EP3623867A1 (en) | 2018-09-13 | 2020-03-18 | Shin-Etsu Chemical Co., Ltd. | Patterning process |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11996304B2 (en) | 2019-07-16 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing device |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US12040229B2 (en) | 2019-08-22 | 2024-07-16 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US12033849B2 (en) | 2019-08-23 | 2024-07-09 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12055863B2 (en) | 2020-07-17 | 2024-08-06 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Also Published As
Publication number | Publication date |
---|---|
US7163778B2 (en) | 2007-01-16 |
TW200508792A (en) | 2005-03-01 |
KR20040084701A (ko) | 2004-10-06 |
KR101001399B1 (ko) | 2010-12-14 |
US20040191479A1 (en) | 2004-09-30 |
TWI273347B (en) | 2007-02-11 |
JP4369203B2 (ja) | 2009-11-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4369203B2 (ja) | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 | |
JP4638380B2 (ja) | 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 | |
JP4430986B2 (ja) | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 | |
KR100857967B1 (ko) | 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법 | |
JP3981825B2 (ja) | パターン形成方法及び下層膜形成材料 | |
JP4700929B2 (ja) | 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法 | |
JP4388429B2 (ja) | レジスト下層膜材料ならびにパターン形成方法 | |
JP4553113B2 (ja) | 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜 | |
US7303855B2 (en) | Photoresist undercoat-forming material and patterning process | |
JP4515987B2 (ja) | 反射防止膜材料、及びパターン形成方法 | |
US7303785B2 (en) | Antireflective film material, and antireflective film and pattern formation method using the same | |
US7632624B2 (en) | Photoresist undercoat-forming material and patterning process | |
JP4575214B2 (ja) | レジスト下層膜材料およびパターン形成方法 | |
JP4013057B2 (ja) | パターン形成方法及び下層膜形成材料 | |
KR101134190B1 (ko) | 에칭 마스크용 규소 함유막 형성용 조성물, 에칭 마스크용규소 함유막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법 | |
JP4013058B2 (ja) | パターン形成方法及び下層膜形成材料 | |
KR101225417B1 (ko) | 규소 함유 반사 방지막 형성용 조성물, 규소 함유 반사방지막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법 | |
JP4818582B2 (ja) | 高分子化合物、反射防止膜材料及びパターン形成方法 | |
JP2004354554A (ja) | レジスト下層膜材料ならびにパターン形成方法 | |
JP4220361B2 (ja) | フォトレジスト下層膜形成材料およびパターン形成方法 | |
JP4780323B2 (ja) | エッチングマスク用ケイ素含有膜形成用組成物、エッチングマスク用ケイ素含有膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法 | |
JP4563927B2 (ja) | 基板及びその製造方法、並びにそれを用いたパターン形成方法 | |
JP4563949B2 (ja) | マスクパターン被覆材料 | |
JP4780324B2 (ja) | ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20051117 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20081007 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081014 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081107 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090224 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090330 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20090811 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20090827 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4369203 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120904 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150904 Year of fee payment: 6 |
|
EXPY | Cancellation because of completion of term |