JP2004310019A - 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 - Google Patents

反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 Download PDF

Info

Publication number
JP2004310019A
JP2004310019A JP2003369596A JP2003369596A JP2004310019A JP 2004310019 A JP2004310019 A JP 2004310019A JP 2003369596 A JP2003369596 A JP 2003369596A JP 2003369596 A JP2003369596 A JP 2003369596A JP 2004310019 A JP2004310019 A JP 2004310019A
Authority
JP
Japan
Prior art keywords
group
film
substrate
pattern
photoresist film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003369596A
Other languages
English (en)
Other versions
JP4369203B2 (ja
Inventor
Jun Hatakeyama
畠山  潤
Takashi Ueda
貴史 上田
Tsutomu Ogiwara
勤 荻原
Motoaki Iwabuchi
元亮 岩淵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2003369596A priority Critical patent/JP4369203B2/ja
Priority to US10/797,201 priority patent/US7163778B2/en
Priority to TW093107775A priority patent/TWI273347B/zh
Priority to KR1020040019527A priority patent/KR101001399B1/ko
Publication of JP2004310019A publication Critical patent/JP2004310019A/ja
Application granted granted Critical
Publication of JP4369203B2 publication Critical patent/JP4369203B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

【課題】短波長の露光に対して、優れた反射防止効果を有し、エッチング選択比が高く、即ち、フォトレジスト膜に対してエッチング速度が十分に速く、被加工基板よりもエッチング速度が十分に遅く、さらに、反射防止膜の上のフォトレジスト膜に形成するレジストパターン形状を垂直形状にできる反射防止膜材料を提供する。
【解決手段】リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むもの、又は下記一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、下記一般式(3)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料。
【化34】
Figure 2004310019

【化35】
Figure 2004310019

【選択図】 なし

Description

本発明は半導体素子などの製造工程における微細加工に好適に用いられる反射防止膜材料に関し、特に、珪素原子を含有する高分子化合物を主成分とする反射防止膜材料に関する。さらに、本発明は、これを用いた遠紫外線、ArFエキシマレーザー光(193nm)、F2レーザー光(157nm)、Kr2レーザー光(146nm)、Ar2レーザー光(126nm)等での露光に好適な反射防止膜を有する基板、及び該基板へのパターン形成方法に関するものである。
近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光を用いたリソグラフィーにおいては、光源の波長に由来する本質的な解像度の限界に近づきつつある。
レジストパターン形成の際に使用するリソグラフィー用の光源として、水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられており、更なる微細化のための手段として、露光光を短波長化する方法が有効とされてきた。このため、例えば64MビットDRAM加工方法の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用されるようになった。しかし、更に微細な加工技術(例えば、加工寸法が0.13μm以下)を必要とする集積度1G以上のDRAMの製造には、より短波長の光源が必要とされ、特にArFエキシマレーザー(193nm)を用いたリソグラフィーが検討されてきている。
KrFエキシマレーザーを用いたリソグラフィー(以下、KrFリソグラフィーとする)の初期の段階に於いて、色消しレンズ、あるいは反射光学系などと、ブロードバンド光とを組み合わせたステッパー(露光装置)が開発された。しかし、色消しレンズあるいは非球面の反射光学系の精度が充分でなかったことから、狭帯域化したレーザー光と屈折光学系レンズの組み合わせが主流になった。一般に、単一波長露光においては、入射する光と、基板からの反射光とが干渉し、定在波を発生することは古くからよく知られた現象である。また、基板の凹凸によって光が集光あるいは散乱することによるハレーションと呼ばれる現象が起きることも知られている。定在波とハレーションは、どちらもパターンの線幅などの寸法変動や、形状の崩れなどを引き起こす。コヒーレントな単色光の使用は、短波長化とともに定在波やハレーションを更に増幅させる。このためハレーションや定在波を抑える方法として、フォトレジスト膜材料に吸光剤を入れる方法、フォトレジスト膜上面及び基板面に反射防止膜を敷く方法が提案された。
しかし、吸光剤を入れる方法は、レジストパターン形状がテーパー形状になる問題が生じた。近年の波長の短波長化と、微細化の進行とともに、定在波とハレーションがパターン寸法変動に及ぼす問題が深刻化し、吸光剤を入れる方法では十分には対応できなくなった。
フォトレジスト膜上面に反射防止膜を敷く方法では、反射防止膜(以下、上層透過型反射防止膜とする)は、原理的に定在波の低減だけに効果があり、ハレーションには効果がない。また、定在波を完全にうち消すための上層透過型反射防止膜の屈折率は、フォトレジスト膜の屈折率の平方根が理想的であるため、KrFリソグラフィーで用いられているポリヒドロキシスチレン系のフォトレジスト膜の屈折率1.8では、1.34が理想値である。ArFエキシマレーザーを用いたリソグラフィー(以下、ArFリソグラフィーとする)に用いられている脂環族系アクリルのフォトレジスト膜の屈折率1.6では、理想値が1.27となる。このような低い屈折率を有する材料は、パーフルオロ系の材料に限定されるが、反射防止膜はアルカリ現像時に剥離が可能な方がプロセス的に有利であるため、水溶性材料であることが必要である。非常に疎水性の高いパーフルオロ系材料を水溶性にするために親水性置換基を導入すると、屈折率が増加し、KrFリソグラフィーでは1.42前後、ArFリソグラフィーにおいては1.5前後の値となる。このためKrFリソグラフィーで、0.20μm以下の加工寸法でパターン形成を行う場合は、吸光剤と上層透過型反射防止膜の組み合わせだけでは定在波の影響を抑えることができなくなっている。ArFリソグラフィーにおいては、前記理由により、上層透過型反射防止膜の効果は殆ど期待できず、KrFリソグラフィーにおいても今後のさらなる線幅の縮小により線幅の管理が厳しくなってくる。
そこで、基板面に反射防止膜を敷く方法、すなわちフォトレジスト膜の下地に反射防止膜を敷くことが必要になってきた。
フォトレジスト膜の下地とする反射防止膜は、その下がポリシリコンやアルミニウムなどの高反射基板の場合では、最適な屈折率(n値)、消光係数(k値)の材料を適切な膜厚に設定することによって、基板からの反射を1%以下に低減でき、極めて大きな効果を発揮することができる。
図1は、波長193nmにおける反射防止膜の膜厚と反射率の関係を示すグラフである。図1から、例えば、波長193nmにおいて、フォトレジスト膜の屈折率が1.8である時、その下の反射防止膜の屈折率(屈折率の実数部)n=1.5、消光係数(屈折率の虚数部)k=0.5、膜厚42nmであれば、反射率が0.5%以下になることが判る。
しかし、下地基板に段差がある場合は、段差上で反射防止膜の膜厚が大きく変動する。図1を見て判るように、反射防止膜の反射防止効果は、光の吸収だけでなく、干渉効果も利用しているため、干渉効果が強い膜厚40〜45nmの第一底辺はそれだけ反射防止効果も高いが、膜厚の変動によって大きく反射率が変動する。
そこで、反射防止膜材料に用いるベース樹脂の分子量を上げて段差上での膜厚変動を抑えコンフォーマル性を高めた材料が提案されている(例えば、特許文献1参照。)。しかし、この場合、ベース樹脂の分子量が高くなると、スピンコート後にピンホールが発生しやすくなるといった問題や、ろ過できなくなるといった問題、更には、経時的に粘度変動が生じ膜厚が変化するといった問題や、ノズルの先端に結晶物が析出するといった問題が生じる。しかも、コンフォーマル性が発揮できるのは比較的高さの低い段差に限定される。
そこで、次に、図1から膜厚変動による反射率の変動が比較的小さい第3底辺以上の膜厚(170nm以上)を採用する方法が考えられる。この場合、k値が0.2〜0.3の間で、膜厚が170nm以上であれば膜厚の変化に対する反射率の変動が小さく、しかも反射率を2.0%以下に抑えることができることになる。
また、反射防止膜の下地が酸化膜や窒化膜などの透明膜で、さらにその透明膜の下に段差がある場合、透明膜の表面がCMP(化学的機械研磨;Chemical Mechanical Polishing)などで平坦化されていたとしても、透明膜の膜厚が変動する。この場合、その上の反射防止膜の膜厚は一定にする事は可能であるが、反射防止膜の下の透明膜の膜厚が変動すると図1における最低反射率となる膜の厚みが透明膜の膜厚分だけλ/2n(λ:露光波長、n:露光波長における透明膜の屈折率)の周期でずれることになる。反射防止膜の膜厚を、下地が反射膜の時の最低反射率となる膜厚55nmに設定した場合、透明膜の膜厚変動によって反射率が高い部分が出現する。この場合、下地透明膜の膜厚の変化に対する反射率を安定化するためにも、前述と同様反射防止膜の膜厚を170nm以上の厚膜にする必要がある。
このような反射防止膜の材料は、無機系と有機系に大別できる。
無機系はSiON膜が挙げられる。これは、シランとアンモニアの混合ガスによるCVD(化学気相成長;Chemial Vapor Deposition)などで形成され、フォトレジスト膜に対するエッチング選択比が大きいため、フォトレジスト膜へのエッチングの負荷が小さい利点があるが、剥離が困難なため、適用できる場合に制限がある。また、窒素原子を含む塩基性のものであるため、ポジレジストではフッティング、ネガレジストではアンダーカットプロファイルになりやすいという欠点もある。
有機系はスピンコートが可能でCVDやスパッタリングなどの特別な装置を必要としない点、フォトレジスト膜と同時に剥離可能な点、裾引き等の発生が無く形状が素直でフォトレジスト膜との接着性も良好である点が利点であり、多くの有機材料をベースとした反射防止膜材料が提案された。例えば、ジフェニルアミン誘導体とホルムアルデヒド変性メラミン樹脂との縮合体、アルカリ可溶性樹脂と吸光剤とからなるもの(例えば、特許文献2参照。)や、無水マレイン酸共重合体とジアミン型吸光剤の反応物(例えば、特許文献3参照。)、樹脂バインダーとメチロールメラミン系熱架橋剤を含有するもの(例えば、特許文献4参照。)、カルボン酸基とエポキシ基と光吸収基を同一分子内に有するアクリル樹脂ベース型(例えば、特許文献5参照。)、メチロールメラミンとベンゾフェノン系吸光剤からなるもの(例えば、特許文献6参照。)、ポリビニルアルコール樹脂に低分子吸光剤を添加したもの(例えば、特許文献7参照。)等が挙げられる。これら全ての有機材料をベースとした反射防止膜材料は、バインダーポリマーに吸光剤を添加、あるいはポリマーに置換基として光吸収基を導入する方法を採っている。しかし、吸光剤の多くが芳香族基、あるいは2重結合を有するため、吸光剤の添加によってドライエッチング耐性が高まり、フォトレジスト膜とのドライエッチング選択比がそれほど高くないという欠点がある。微細化が進行し、フォトレジスト膜の薄膜化にも拍車がかかっており、更に次世代のArFリソグラフィーに於いては、フォトレジスト膜材料にアクリルまたは脂環族のポリマーを使うことになるため、フォトレジスト膜のエッチング耐性が低下する。更に、前述の通り、反射防止膜の膜厚を厚くしなければならないという問題もある。このため、エッチングは深刻な問題であり、フォトレジスト膜に対してエッチング選択比の高い、即ちフォトレジスト膜をマスクにして反射防止膜をエッチングする時にはエッチングスピードが速い反射防止膜が求められている。
さらに、反射防止膜において最適な吸光係数を与えるための吸光剤が検討されている。KrFリソグラフィーでは特にアントラセン型、ArFリソグラフィーではフェニル型が提案されている。しかし、これらのものは、前述の通り、優れたドライエッチング耐性を有する置換基でもあり、ダイをペンダントさせたポリマーバックボーンをアクリルなどのエッチング耐性の低いポリマーにした場合においても実用的には限界がある。
さらに、近年の高解像度化に伴ってフォトレジスト膜の薄膜化が進んでいる。薄膜化に伴ってフォトレジスト膜のエッチング耐性向上が求められているが、十分ではないのが現状である。そこで、薄膜フォトレジスト膜のパターン転写方法として、ハードマスク法が利用されている。
被加工基板が、ポリシリコン(p−Si)などではSiO膜、被加工基板がSiO膜を有するものではSiN、W−Si、アモルファスSiなどがハードマスクとして検討されている。更に、反射防止膜としての機能を兼ねたSiON膜のハードマスクが提案されている(例えば、非特許文献1参照。)。ハードマスク法では、ハードマスクを残す場合と剥離する場合があるが、特に下地がSiO膜などの絶縁膜の場合、特にW−Si、アモルファスSi膜は良導膜なので剥離する事が必要である。ハードマスクがSiN膜の場合は、これは絶縁膜なので場合によっては剥離する必要がないが、SiOと構成元素が似ているため、ハードマスクとしての本来の機能としてのエッチング選択比が低いという欠点がある。
特開平10−69072号公報 特公平7−69611号公報 米国特許第5294680号明細書 特開平6−118631号公報 特開平6−118656号公報 特開平8−87115号公報 特開平8−179509号公報 SPIE2000 Vol.4226 p93
本発明はこのような問題点に鑑みてなされたもので、短波長の露光に対して優れた反射防止効果を有し、またエッチング選択比が高く、即ち、フォトレジスト膜に対してエッチング速度が十分に速く、被加工基板よりもエッチング速度が十分に遅く、さらに、反射防止膜の上のフォトレジスト膜に形成するレジストパターン形状を垂直形状にできる反射防止膜材料を提供し、且つこの反射防止膜材料を用いて基板上にパターンを形成する方法を提供することを目的とする。
本発明は、上記課題を解決するためになされたもので、リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料を提供する(請求項1)。
Figure 2004310019
( 式中、Rは架橋基を有する1価の有機基、Rは光吸収基を有する1価の有機基であり、Rはカルボニル、エステル、ラクトン、アミド、エーテル、ニトリルから選ばれる少なくとも1つの官能基を有する1価の有機基であり、0<a1<1、0<b1<1、0<c1<1、0.5≦a1+b1+c1≦1の範囲である。R、R、Rは水素原子、ヒドロキシ基、炭素数1〜6のアルキル基、炭素数6〜10のアリール基、炭素数1〜6のフッ素化されたアルキル基であり、m、n、pはそれぞれ0又は1である。)
また、本発明は、リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、下記一般式(3)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料を提供する(請求項2)。
Figure 2004310019
( 式中、R、R、R、R、R、R、m、n、pは前述の通り、0<a2<1、0<b2<1、0.5≦a2+b2≦1の範囲、0<a3<1、0<c2<1、0.5≦a3+c2≦1の範囲である。)
尚、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物のブレンド比としては、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物の重量を1とした時、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物を、0.1以上10以下の範囲でブレンドするのが好ましく、0.2以上5以下の範囲でブレンドするのがより好ましい。
これらの反射防止膜材料を用いた反射防止膜は、特に短波長光を用いたリソグラフィーにおいて、露光時に、ハレーションや定在波を十分に抑えることができるといった優れた反射防止効果を有するものである。また、露光時に、前記反射防止膜からその上のフォトレジスト膜への酸拡散を防ぐことができるため、レジストパターンを垂直形状にできる。さらに、エッチング選択比が高いものであり、反射防止膜のエッチング時にはフォトレジスト膜に対してエッチングスピードが速く、基板のエッチンッグ時には基板よりもエッチングスピードが遅い。したがって、リソグラフィーにより、基板に微細なパターンを高精度で形成することが可能となる。
また、本発明の反射防止膜材料は、さらに有機溶剤及び/又は酸発生剤を含有するのが好ましい(請求項3)。
このように、上記本発明の反射防止膜材料が、さらに有機溶剤及び/又は酸発生剤を含有することで、基板等への塗布後のベーク等により、反射防止膜内での架橋反応を促進することができる。したがって、このような反射防止膜は、フォトレジスト膜とのミキシングの恐れが少なく、フォトレジスト膜への酸の拡散が少ないものとなる。
さらに、本発明の反射防止膜材料は、さらに架橋剤を含有するのが好ましい(請求項4)。
このように、上記本発明の反射防止膜材料が、さらに架橋剤を含有することで、基板等への塗布後のベーク等により、反射防止膜内での架橋反応を一層促進することができる。
また、本発明の反射防止膜材料は、該反射防止膜材料に含まれる高分子化合物が有する繰り返し単位中の前記光吸収基が、芳香族系の基又はSi−Si結合を有する基であるものとすることができる(請求項5)。
このように、反射防止膜材料に含まれる高分子化合物が有する繰り返し単位中の前記光吸収基が、芳香族系の基又はSi−Si結合を有する基であれば、該反射防止膜材料を用いた反射防止膜は、優れた反射防止効果を有するものとでき、十分にハレーションや定在波を抑えることができる。
また、本発明は、少なくとも、基板上に本発明の反射防止膜材料をベークして得られる反射防止膜を有するものであることを特徴とする基板を提供する(請求項6)。
このように、少なくとも、基板上に本発明の反射防止膜材料をベークして得られる反射防止膜を有する基板であれば、これを用いて、リソグラフィーによりパターンを形成すれば、微細なパターンを高精度で形成することが可能なものとなる。
また、本発明は、リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に本発明の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜及び基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法を提供する(請求項7)。
このように、本発明の反射防止膜材料を用いて、リソグラフィーにより基板にパターンを形成すれば、基板に微細なパターンを高精度で形成することができる。
また、本発明は、リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に本発明の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、さらにパターンが形成された反射防止膜をマスクにして基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法を提供する(請求項8)。
このように、本発明の反射防止膜材料を用いて、これをマスクとして基板にパターンを形成することができ、基板に微細なパターンを高精度で形成することができる。
また、本発明は、リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に有機膜を形成し、該有機膜の上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、パターンが形成された反射防止膜をマスクにして有機膜をエッチングし、さらに基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法を提供する(請求項9)。
このように、本発明の反射防止膜材料は、基板に有機膜を介して形成するようにしてもよく、これを用いてリソグラフィーにより基板にパターンを形成すれば、基板に微細なパターンを高精度で形成することができる。
以上説明したように、本発明の反射防止膜材料を用いれば、特に短波長での露光に対して、十分な反射防止効果を発揮できるだけのn値、k値を有する上に、エッチング選択比が高い、即ち、フォトレジスト膜に対してエッチング速度が十分に速く、被加工基板よりもエッチング速度が十分に遅い反射防止膜を得ることができる。従って、この反射防止膜は、被加工基板に対するハードマスクとしての効果も高いものである。さらに、この反射防止膜の上のフォトレジスト膜に形成するレジストパターン形状も、逆テーパー、裾引き等の発生が無い垂直形状にできる。
以下、本発明について説明する。
反射防止膜に要求される性能の一つとして、フォトレジスト膜に対してエッチング選択比が高い、即ちフォトレジスト膜に対してエッチングスピードが速いことが挙げられる。一般に、珪素を含む材料を用いた反射防止膜は、フルオロカーボン系のガスを用いたエッチング条件において、エッチング速度が速く、フォトレジスト膜に対して高いエッチング選択比が得られることが知られており、珪素原子を含む反射防止膜を用いることによってエッチングの選択比を飛躍的に高めることができると考えられる。例えば、フェニル基がペンダントされたポリシランを骨格とするKrFリソグラフィー用の反射防止膜が提案され(例えば、特開平11−60735号公報参照。)、高いエッチング選択比が達成されている。
さらに、シリカ系絶縁膜形成用塗布液が提案されている(例えば、特開昭57−83563号公報、特開昭57−131250号公報、特開昭56−129261号公報、特許3287119号明細書参照。)。この技術を用いて、多層レジストプロセスにおける下層膜として、珪素含有ポリマーを用いる多くのパターン形成方法が提案されている。例えば、基板上に有機膜を形成し、その上にシリカガラスをスピンコートし、その上のレジストパターンをシリカガラス層に転写、次に酸素ガスエッチングで有機膜層にパターン転写、最後に基板を加工する3層プロセスが提案されている(例えば、特許第3118887号明細書、特開2000−356854号公報参照。)。反射防止膜としての効果も兼ねたシリカガラス層、シルセスキオキサンポリマー材料が提案されている(例えば、特開平5−27444号公報、特開平6−138664号公報、特開2001−53068号公報、特開2001−92122号公報、特開2001−343752号公報参照。)。更に、反射防止膜とハードマスクの機能を兼ねそろえたシルセスキオキサンポリマーをベースとした組成物が提案されている(例えば、米国特許第6420088号明細書参照。)。
さらに、反射防止膜に要求される性能の一つとして、フォトレジスト膜とのインターミキシングがないこと、フォトレジスト膜層ヘの低分子成分の拡散がないことも挙げられる(Proc. SPIE Vol.2195、225―229(1994))。これらを防止するために、一般的に反射防止膜材料を基板等にスピンコート後、ベークして熱架橋するという方法が採られている。
一方、反射防止膜の上のレジストパターンは、裾引き或いはアンダーカットがない垂直な形状であることが望まれる。裾引き形状では、反射防止膜のエッチング後に寸法変換差が生じ、アンダーカット形状(逆テーパー形状ともいう)では現像後にレジストパターンの倒れが生じるからである。
酸による架橋がポジ型レジストにおいて、裾引き低減に有効であることが報告されている(Proc. SPIE Vol.3678、241―250(1999))。架橋剤を添加し、酸により架橋させる方法は反射防止膜材料において重要であり、裾引き低減のためには架橋剤の添加が有効とされている(例えば、米国特許6420088号明細書、特開2001−53068号公報参照。)。
現像後のフォトレジスト膜の断面パターンが逆テーパー形状になる問題が発生していることについては、これは、反射防止膜の架橋反応に用いられる酸がフォトレジスト膜層に移動し、ベーク時にフォトレジスト膜の酸不安定基を脱離させたこと、あるいはフォトレジスト膜中に添加されているアミン化合物を中和させてしまったことが原因と考えられる。フォトレジスト膜層に酸が移動しないようにするためには、反射防止膜に発生する酸をバルキーなものにする方法が挙げられるが、架橋反応も進行しにくくなり、フォトレジスト膜とのインターミキシングの原因となるので好ましくない。
ここで、ArFリソグラフィー用の有機反射防止膜として、ヒドロキシエチルメタクリレート、メチルアクリレート、スチレンの3元共重合体を用いた材料が提案されている(SPIE Vol.3678 p702 (1999))。架橋システムとしてはヒドロキシエチルメタクリレートとグリコールウリル系の架橋剤を用いている。ここで注目したいのはメチルアクリレートの存在であり、このものを共重合することによって、この反射防止膜の上のレジストパターンが逆テーパー形状になるのを防止している。メチルアクリレートはレジストとの密着性を向上させ、酸拡散を抑える効果もあると考えられる。
本発明者らは、鋭意検討及び研究を重ねた結果、反射防止膜の上のレジストパターンが逆テーパー形状になるのを防止するためには、架橋後の反射防止膜中に酸を閉じこめておく必要があり、このためには、カルボニル、エステル、ラクトン、アミド、エーテル、ニトリル基から選ばれる少なくとも1つの官能基を持つポリマーを用いることが有効であるとの結論に達した。したがって、本発明者らは、前記官能基をペンダントしたシロキサンポリマーを反射防止膜材料のベースとして用いることで、該反射防止膜材料から形成された反射防止膜は、短波長の露光に対して優れた反射防止膜として機能し、しかもエッチング選択比が非常に高いものとできる上に、反射防止膜の上のレジストパターン形状を垂直形状に保つことができることに想到し、本発明を完成した。
以下、本発明の実施の形態について説明するが、本発明はこれらに限定されるものではない。
本発明は、リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料を提供する。
Figure 2004310019
( 式中、Rは架橋基を有する1価の有機基、Rは光吸収基を有する1価の有機基であり、Rはカルボニル、エステル、ラクトン、アミド、エーテル、ニトリルから選ばれる少なくとも1つの官能基を有する1価の有機基であり、0<a1<1、0<b1<1、0<c1<1、0.5≦a1+b1+c1≦1の範囲である。R、R、Rは水素原子、ヒドロキシ基、炭素数1〜6のアルキル基、炭素数6〜10のアリール基、炭素数1〜6のフッ素化されたアルキル基であり、m、n、pはそれぞれ0又は1である。)
また、リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、下記一般式(3)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料を提供する。
Figure 2004310019
( 式中、R、R、R、R、R、R、m、n、pは前述の通り、0<a2<1、0<b2<1、0.5≦a2+b2≦1の範囲、0<a3<1、0<c2<1、0.5≦a3+c2≦1の範囲である。)
尚、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物のブレンド比としては、一般式(3)で示される共重合による繰り返し単位を有する高分子化合物の重量を1とした時、一般式(2)で示される共重合による繰り返し単位を有する高分子化合物を、0.1以上10以下の範囲でブレンドするのが好ましく、0.2以上5以下の範囲でブレンドするのがより好ましい。
これらの反射防止膜材料を用いた反射防止膜は、特に短波長光を用いたリソグラフィーにおいて、露光時に、ハレーションや定在波を十分に抑えることができるといった優れた反射防止効果を有するものである。また、露光時に、前記反射防止膜からその上のフォトレジスト膜への酸拡散を防ぐことができるため、レジストパターンを垂直形状にできる。さらに、エッチング選択比が高いものであり、反射防止膜のエッチング時にはフォトレジスト膜に対してエッチングスピードが速く、基板のエッチンッグ時には基板に対してエッチングスピードが遅い。したがって、リソグラフィーにより、基板に微細なパターンを高精度で形成することが可能となる。
一般式(1)、(2)、(3)中の繰り返し単位a1、a2、a3に、Rとして示される、架橋基を有する1価の有機基は、下記に挙げることができる。尚、下記では、この有機基をポリマー中にペンダントしている状態で示している。
Figure 2004310019
Figure 2004310019
次に、一般式(1)、(2)中の繰り返し単位b1、b2に、Rとして示される、光吸収基を有する1価の有機基としては、下記に例示することができる。尚、下記では、この有機基をポリマー中にペンダントしている状態で示している。
Figure 2004310019
尚、上に例示した光吸収基を有する1価の有機基中の、メトキシ基、アセトキシ基、アセタール基は、重合中あるいは重合後脱保護化して、ヒドロキシ基にすることも可能である。
これら芳香族系の光吸収基を有するものの他に、Si−Si結合を有する光吸収基を有するものを用いることもできる。具体的には下記に挙げることができる。尚、下記では、この有機基をポリマー中にペンダントしている状態で示している。
Figure 2004310019
次に、一般式(1)、(3)中の繰り返し単位c1、c2に、Rとして示される、カルボニル、エステル、ラクトン、アミド、エーテル、ニトリルから選ばれる少なくとも1つの官能基を有する1価の有機基としては、下記に例示することができる。尚、下記では、この有機基をポリマー中にペンダントしている状態で示している。
Figure 2004310019
また、一般式(1)、(2)、(3)中のR、R、Rで示される水素原子、ヒドロキシ基、炭素数1〜6のアルキル基、炭素数6〜10のアリール基、炭素数1〜6のフッ素化されたアルキル基としては、具体的には、水素原子、ヒドロキシ基、メチル基、エチル基、n−プロピル基、シクロヘキシル基、トリフルオロプロピル基、フェニル基、ナフチル基等が挙げられる。
尚、一般式(1)、(2)、(3)で示される繰り返し単位を含む高分子化合物の分子量(Mw)は、それぞれ1,000〜1,000,000の範囲が好ましく、より好ましくは1,500〜500,000の範囲である。
本発明の反射防止膜材料に用いられる、一般式(1)、(2)、(3)で示される共重合による繰り返し単位を有する高分子化合物を得るためには下記一般式で示される珪素含有化合物を用いる。
Figure 2004310019
( 式中R、R、R、R、R、Rは前述と同様、Xは同一又は異種のハロゲン原子、ヒドロキシ基、炭素数1〜4のアルコキシ基である。m、n、pは0の場合重合後のポリマーはシルセスキオキサンであり、シリコーンラダー構造の重合体である。m、n、pが1の場合、直鎖状のシリコーンポリマーである。)
これらのモノマーa〜cを、加水分解により共縮合することで、本発明の反射防止膜材料に用いる高分子化合物を合成する。加水分解反応における水の量は、モノマー1モル当たり0.2〜10モルを添加することが好ましい。この時に、触媒を用いることもできる。触媒としては、酢酸、プロピオン酸、オレイン酸、ステアリン酸、リノール酸、サリチル酸、安息香酸、ギ酸、マロン酸、フタル酸、フマル酸、クエン酸、酒石酸、塩酸、硫酸、硝酸、スルホン酸、メチルスルホン酸、トシル酸、トリフルオロメタンスルホン酸などの酸、アンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム、トリメチルアミン、トリエチルアミン、トリエタノールアミン、テトラメチルアンモニウムヒドロキシド、コリンヒドロキシド、テトラブチルアンモニウムヒドロキシドなどの塩基、テトラアルコキシチタン、トリアルコキシモノ(アセチルアセトナート)チタン、テトラアルコキシジルコニウム、トリアルコキシモノ(アセチルアセトナート)ジルコニウムなどの金属キレート化合物を挙げることができるが、重合中にエポキシを開環させない様にし、アルカリや金属の不純物を混入しないようにするためには有機アミンが好ましく用いられる。
反応操作としては、モノマーを有機溶媒に溶解させ、水を添加し加水分解反応を開始させる。触媒は水に添加していても良いし、有機溶媒中に添加しておいても良い。反応温度は0〜100℃、好ましくは10〜80℃である。水の滴下時に10〜50℃に加熱し、その後40〜80℃に昇温させて熟成させる方法が好ましい。有機溶媒としては、水に難溶あるいは不溶のものが好ましく、テトラヒドロフラン、トルエン、ヘキサン、酢酸エチル、シクロヘキサノン、メチル−2−n−アミルケトン、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert―ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート、γ―ブチルラクトンなどが好ましい。
その後、触媒の中和反応を行い、有機溶媒層を分別し脱水する。水分の残存は、残存したシラノールの縮合反応を進行させるため、脱水は十分に行う必要がある。硫酸マグネシウムなどの塩やモレキュラーシーブによる吸着法や、溶媒を除去しながらの共沸脱水法が好ましく挙げられる。
架橋基にエポキシ基を含有するものは、酸触媒による縮合反応時に開環してアルコール体にすることもできる。
また、本発明の反射防止膜材料は、さらに有機溶剤及び/又は酸発生剤を含有するのが好ましい。
このように、本発明の反射防止膜材料が、さらに有機溶剤及び/又は酸発生剤を含有することで、基板等への塗布後のベーク等により、反射防止膜内での架橋反応を促進することができる。したがって、このような反射防止膜は、フォトレジスト膜とのミキシングの恐れが少なく、フォトレジスト膜への酸の拡散が少ないものとなる。
本発明の反射防止膜材料で使用される有機溶剤としては、ベース樹脂、酸発生剤、その他の添加剤等が溶解可能な有機溶剤であればいずれでもよい。このような有機溶剤としては、例えば、シクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert―ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ―ブチロラクトン等のラクトン類が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。
本発明では、これらの有機溶剤の中でも反射防止膜材料中の酸発生剤の溶解性が最も優れているジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、プロピレングリコールモノメチルエーテルアセテート及びその混合溶剤が好ましく使用される。
有機溶剤の使用量は、ベース樹脂100部(重量部、以下同様。)に対して400〜4,000部、特に400〜3,000部が好適である。
本発明の反射防止膜材料においては、熱などによる架橋反応を更に促進させるために酸発生剤を添加することができる。酸発生剤は熱分解によって酸を発生するものや、光照射によって酸を発生するものがあるが、いずれのものも添加することができる。
本発明で使用される酸発生剤としては、
i.)下記一般式(P1a−1)、(P1a−2)、(P1a−3)又は(P1b)のオニウム塩、
ii.)下記一般式(P2)のジアゾメタン誘導体、
iii.)下記一般式(P3)のグリオキシム誘導体、
iv.)下記一般式(P4)のビススルホン誘導体、
v.)下記一般式(P5)のN−ヒドロキシイミド化合物のスルホン酸エステル、
vi.)β−ケトスルホン酸誘導体、
vii.)ジスルホン誘導体、
viii.)ニトロベンジルスルホネート誘導体、
ix.)スルホン酸エステル誘導体
等が挙げられる。
Figure 2004310019
(式中、R101a、R101b、R101cはそれぞれ炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、アルケニル基、オキソアルキル基、炭素数6〜20のアリール基、又は炭素数7〜12のアラルキル基又はアリールオキソアルキル基を示し、これらの基の水素原子の一部又は全部がアルコキシ基等によって置換されていてもよい。また、R101bとR101cとは環を形成してもよく、環を形成する場合には、R101b、R101cはそれぞれ炭素数1〜6のアルキレン基を示す。K-は非求核性対向イオンを表す。R101d、R101e、R101f、R101gは、R101a、R101b、R101cに水素原子を加えて示される。R101dとR101e、R101dとR101eとR101fとは環を形成してもよく、環を形成する場合には、R101dとR101e及びR101dとR101eとR101fは炭素数3〜10のアルキレン基、又は式中の窒素原子を環の中に有する複素芳香族環を示す。)
上記R101a、R101b、R101c、R101d、R101e、R101f、R101gは互いに同一であっても異なっていてもよく、具体的にはアルキル基として、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基、シクロペンチル基、シクロヘキシル基、シクロヘプチル基、シクロプロピルメチル基、4−メチルシクロヘキシル基、シクロヘキシルメチル基、ノルボルニル基、アダマンチル基等が挙げられる。アルケニル基としては、ビニル基、アリル基、プロぺニル基、ブテニル基、ヘキセニル基、シクロヘキセニル基等が挙げられる。オキソアルキル基としては、2−オキソシクロペンチル基、2−オキソシクロヘキシル基等が挙げられ、2−オキソプロピル基、2−シクロペンチル−2−オキソエチル基、2−シクロヘキシル−2−オキソエチル基、2−(4−メチルシクロヘキシル)−2−オキソエチル基等を挙げることができる。アリール基としては、フェニル基、ナフチル基等や、p−メトキシフェニル基、m−メトキシフェニル基、o−メトキシフェニル基、エトキシフェニル基、p−tert−ブトキシフェニル基、m−tert−ブトキシフェニル基等のアルコキシフェニル基、2−メチルフェニル基、3−メチルフェニル基、4−メチルフェニル基、エチルフェニル基、4−tert−ブチルフェニル基、4−ブチルフェニル基、ジメチルフェニル基等のアルキルフェニル基、メチルナフチル基、エチルナフチル基等のアルキルナフチル基、メトキシナフチル基、エトキシナフチル基等のアルコキシナフチル基、ジメチルナフチル基、ジエチルナフチル基等のジアルキルナフチル基、ジメトキシナフチル基、ジエトキシナフチル基等のジアルコキシナフチル基等が挙げられる。アラルキル基としてはベンジル基、フェニルエチル基、フェネチル基等が挙げられる。アリールオキソアルキル基としては、2−フェニル−2−オキソエチル基、2−(1−ナフチル)−2−オキソエチル基、2−(2−ナフチル)−2−オキソエチル基等の2−アリール−2−オキソエチル基等が挙げられる。K-の非求核性対向イオンとしては塩化物イオン、臭化物イオン等のハライドイオン、トリフレート、1,1,1−トリフルオロエタンスルホネート、ノナフルオロブタンスルホネート等のフルオロアルキルスルホネート、トシレート、ベンゼンスルホネート、4−フルオロベンゼンスルホネート、1,2,3,4,5−ペンタフルオロベンゼンスルホネート等のアリールスルホネート、メシレート、ブタンスルホネート等のアルキルスルホネートが挙げられる。
又、R101d、R101e、R101f、R101gが式中の窒素原子を環の中に有する複素芳香族環は、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、1−メチル−2−ピリドン、4−ピロリジノピリジン、1−メチル−4−フェニルピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。
(P1a−1)と(P1a−2)は光酸発生剤、熱酸発生剤の両方の効果があるが、(P1a−3)は熱酸発生剤として作用する。
Figure 2004310019
(式中、R102a、R102bはそれぞれ炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。R103は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基を示す。R104a、R104bはそれぞれ炭素数3〜7の2−オキソアルキル基を示す。K-は非求核性対向イオンを表す。)
上記R102a、R102bとして具体的には、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基、シクロペンチル基、シクロヘキシル基、シクロプロピルメチル基、4−メチルシクロヘキシル基、シクロヘキシルメチル基等が挙げられる。R103としては、メチレン基、エチレン基、プロピレン基、ブチレン基、ペンチレン基、へキシレン基、へプチレン基、オクチレン基、ノニレン基、1,4−シクロへキシレン基、1,2−シクロへキシレン基、1,3−シクロペンチレン基、1,4−シクロオクチレン基、1,4−シクロヘキサンジメチレン基等が挙げられる。R104a、R104bとしては、2−オキソプロピル基、2−オキソシクロペンチル基、2−オキソシクロヘキシル基、2−オキソシクロヘプチル基等が挙げられる。K-は式(P1a−1)、(P1a−2)及び(P1a−3)で説明したものと同様のものを挙げることができる。
Figure 2004310019
( 式中、R105、R106は炭素数1〜12の直鎖状、分岐状又は環状のアルキル基又はハロゲン化アルキル基、炭素数6〜20のアリール基又はハロゲン化アリール基、又は炭素数7〜12のアラルキル基を示す。)
105、R106のアルキル基としてはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基、アミル基、シクロペンチル基、シクロヘキシル基、シクロヘプチル基、ノルボルニル基、アダマンチル基等が挙げられる。ハロゲン化アルキル基としてはトリフルオロメチル基、1,1,1−トリフルオロエチル基、1,1,1−トリクロロエチル基、ノナフルオロブチル基等が挙げられる。アリール基としてはフェニル基、p−メトキシフェニル基、m−メトキシフェニル基、o−メトキシフェニル基、エトキシフェニル基、p−tert−ブトキシフェニル基、m−tert−ブトキシフェニル基等のアルコキシフェニル基、2−メチルフェニル基、3−メチルフェニル基、4−メチルフェニル基、エチルフェニル基、4−tert−ブチルフェニル基、4−ブチルフェニル基、ジメチルフェニル基等のアルキルフェニル基が挙げられる。ハロゲン化アリール基としてはフルオロフェニル基、クロロフェニル基、1,2,3,4,5−ペンタフルオロフェニル基等が挙げられる。アラルキル基としてはベンジル基、フェネチル基等が挙げられる。
Figure 2004310019
( 式中、R107、R108、R109は炭素数1〜12の直鎖状、分岐状又は環状のアルキル基又はハロゲン化アルキル基、炭素数6〜20のアリール基又はハロゲン化アリール基、又は炭素数7〜12のアラルキル基を示す。R108、R109は互いに結合して環状構造を形成してもよく、環状構造を形成する場合、R108、R109はそれぞれ炭素数1〜6の直鎖状又は分岐状のアルキレン基を示す。R105はP2式のものと同様である。)
107、R108、R109のアルキル基、ハロゲン化アルキル基、アリール基、ハロゲン化アリール基、アラルキル基としては、R105、R106で説明したものと同様の基が挙げられる。なお、R108、R109のアルキレン基としてはメチレン基、エチレン基、プロピレン基、ブチレン基、ヘキシレン基等が挙げられる。
Figure 2004310019
(式中、R101a、R101bは前記と同様である。)
Figure 2004310019
(式中、R110は炭素数6〜10のアリーレン基、炭素数1〜6のアルキレン基又は炭素数2〜6のアルケニレン基を示し、これらの基の水素原子の一部又は全部は更に炭素数1〜4の直鎖状又は分岐状のアルキル基又はアルコキシ基、ニトロ基、アセチル基、又はフェニル基で置換されていてもよい。R111は炭素数1〜8の直鎖状、分岐状又は置換のアルキル基、アルケニル基又はアルコキシアルキル基、フェニル基、又はナフチル基を示し、これらの基の水素原子の一部又は全部は更に炭素数1〜4のアルキル基又はアルコキシ基;炭素数1〜4のアルキル基、アルコキシ基、ニトロ基又はアセチル基で置換されていてもよいフェニル基;炭素数3〜5のヘテロ芳香族基;又は塩素原子、フッ素原子で置換されていてもよい。)
ここで、R110のアリーレン基としては、1,2−フェニレン基、1,8−ナフチレン基等が、アルキレン基としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、フェニルエチレン基、ノルボルナン−2,3−ジイル基等が、アルケニレン基としては、1,2−ビニレン基、1−フェニル−1,2−ビニレン基、5−ノルボルネン−2,3−ジイル基等が挙げられる。R111のアルキル基としては、R101a〜R101cと同様のものが、アルケニル基としては、ビニル基、1−プロペニル基、アリル基、1−ブテニル基、3−ブテニル基、イソプレニル基、1−ペンテニル基、3−ペンテニル基、4−ペンテニル基、ジメチルアリル基、1−ヘキセニル基、3−ヘキセニル基、5−ヘキセニル基、1−ヘプテニル基、3−ヘプテニル基、6−ヘプテニル基、7−オクテニル基等が、アルコキシアルキル基としては、メトキシメチル基、エトキシメチル基、プロポキシメチル基、ブトキシメチル基、ペンチロキシメチル基、ヘキシロキシメチル基、ヘプチロキシメチル基、メトキシエチル基、エトキシエチル基、プロポキシエチル基、ブトキシエチル基、ペンチロキシエチル基、ヘキシロキシエチル基、メトキシプロピル基、エトキシプロピル基、プロポキシプロピル基、ブトキシプロピル基、メトキシブチル基、エトキシブチル基、プロポキシブチル基、メトキシペンチル基、エトキシペンチル基、メトキシヘキシル基、メトキシヘプチル基等が挙げられる。
なお、更に置換されていてもよい炭素数1〜4のアルキル基としては、メチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、イソブチル基、tert−ブチル基等が、炭素数1〜4のアルコキシ基としては、メトキシ基、エトキシ基、プロポキシ基、イソプロポキシ基、n−ブトキシ基、イソブトキシ基、tert−ブトキシ基等が、炭素数1〜4のアルキル基、アルコキシ基、ニトロ基又はアセチル基で置換されていてもよいフェニル基としては、フェニル基、トリル基、p−tert−ブトキシフェニル基、p−アセチルフェニル基、p−ニトロフェニル基等が、炭素数3〜5のヘテロ芳香族基としては、ピリジル基、フリル基等が挙げられる。
酸発生剤は、具体的には、オニウム塩としては、例えばトリフルオロメタンスルホン酸テトラメチルアンモニウム、ノナフルオロブタンスルホン酸テトラメチルアンモニウム、ノナフルオロブタンスルホン酸トリエチルアンモニウム、ノナフルオロブタンスルホン酸ピリジニウム、カンファースルホン酸トリエチルアンモニウム、カンファースルホン酸ピリジニウム、ノナフルオロブタンスルホン酸テトラn−ブチルアンモニウム、ノナフルオロブタンスルホン酸テトラフェニルアンモニウム、p−トルエンスルホン酸テトラメチルアンモニウム、トリフルオロメタンスルホン酸ジフェニルヨードニウム、トリフルオロメタンスルホン酸(p−tert−ブトキシフェニル)フェニルヨードニウム、p−トルエンスルホン酸ジフェニルヨードニウム、p−トルエンスルホン酸(p−tert−ブトキシフェニル)フェニルヨードニウム、トリフルオロメタンスルホン酸トリフェニルスルホニウム、トリフルオロメタンスルホン酸(p−tert−ブトキシフェニル)ジフェニルスルホニウム、トリフルオロメタンスルホン酸ビス(p−tert−ブトキシフェニル)フェニルスルホニウム、トリフルオロメタンスルホン酸トリス(p−tert−ブトキシフェニル)スルホニウム、p−トルエンスルホン酸トリフェニルスルホニウム、p−トルエンスルホン酸(p−tert−ブトキシフェニル)ジフェニルスルホニウム、p−トルエンスルホン酸ビス(p−tert−ブトキシフェニル)フェニルスルホニウム、p−トルエンスルホン酸トリス(p−tert−ブトキシフェニル)スルホニウム、ノナフルオロブタンスルホン酸トリフェニルスルホニウム、ブタンスルホン酸トリフェニルスルホニウム、トリフルオロメタンスルホン酸トリメチルスルホニウム、p−トルエンスルホン酸トリメチルスルホニウム、トリフルオロメタンスルホン酸シクロヘキシルメチル(2−オキソシクロヘキシル)スルホニウム、p−トルエンスルホン酸シクロヘキシルメチル(2−オキソシクロヘキシル)スルホニウム、トリフルオロメタンスルホン酸ジメチルフェニルスルホニウム、p−トルエンスルホン酸ジメチルフェニルスルホニウム、トリフルオロメタンスルホン酸ジシクロヘキシルフェニルスルホニウム、p−トルエンスルホン酸ジシクロヘキシルフェニルスルホニウム、トリフルオロメタンスルホン酸トリナフチルスルホニウム、トリフルオロメタンスルホン酸(2−ノルボニル)メチル(2−オキソシクロヘキシル)スルホニウム、エチレンビス[メチル(2−オキソシクロペンチル)スルホニウムトリフルオロメタンスルホナート]、1,2’−ナフチルカルボニルメチルテトラヒドロチオフェニウムトリフレート等のオニウム塩を挙げることができる。
ジアゾメタン誘導体としては、ビス(ベンゼンスルホニル)ジアゾメタン、ビス(p−トルエンスルホニル)ジアゾメタン、ビス(キシレンスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(シクロペンチルスルホニル)ジアゾメタン、ビス(n−ブチルスルホニル)ジアゾメタン、ビス(イソブチルスルホニル)ジアゾメタン、ビス(sec−ブチルスルホニル)ジアゾメタン、ビス(n−プロピルスルホニル)ジアゾメタン、ビス(イソプロピルスルホニル)ジアゾメタン、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(n−アミルスルホニル)ジアゾメタン、ビス(イソアミルスルホニル)ジアゾメタン、ビス(sec−アミルスルホニル)ジアゾメタン、ビス(tert−アミルスルホニル)ジアゾメタン、1−シクロヘキシルスルホニル−1−(tert−ブチルスルホニル)ジアゾメタン、1−シクロヘキシルスルホニル−1−(tert−アミルスルホニル)ジアゾメタン、1−tert−アミルスルホニル−1−(tert−ブチルスルホニル)ジアゾメタン等のジアゾメタン誘導体を挙げることができる。
グリオキシム誘導体としては、ビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(p−トルエンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(p−トルエンスルホニル)−2−メチル−3,4−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(n−ブタンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−2−メチル−3,4−ペンタンジオングリオキシム、ビス−O−(メタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(1,1,1−トリフルオロエタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(tert−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(パーフルオロオクタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(シクロヘキサンスルホニル)−α−ジメチルグリオキシム、ビス−O−(ベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−tert−ブチルベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(キシレンスルホニル)−α−ジメチルグリオキシム、ビス−O−(カンファースルホニル)−α−ジメチルグリオキシム等のグリオキシム誘導体を挙げることができる。
ビススルホン誘導体としては、ビスナフチルスルホニルメタン、ビストリフルオロメチルスルホニルメタン、ビスメチルスルホニルメタン、ビスエチルスルホニルメタン、ビスプロピルスルホニルメタン、ビスイソプロピルスルホニルメタン、ビス−p−トルエンスルホニルメタン、ビスベンゼンスルホニルメタン等のビススルホン誘導体を挙げることができる。
β−ケトスルホン誘導体としては、2−シクロヘキシルカルボニル−2−(p−トルエンスルホニル)プロパン、2−イソプロピルカルボニル−2−(p−トルエンスルホニル)プロパン等のβ−ケトスルホン誘導体を挙げることができる。
ジスルホン誘導体としては、ジフェニルジスルホン誘導体、ジシクロヘキシルジスルホン誘導体等のジスルホン誘導体を挙げることができる。
ニトロベンジルスルホネート誘導体としては、p−トルエンスルホン酸2,6−ジニトロベンジル、p−トルエンスルホン酸2,4−ジニトロベンジル等のニトロベンジルスルホネート誘導体を挙げることができる。
スルホン酸エステル誘導体としては、1,2,3−トリス(メタンスルホニルオキシ)ベンゼン、1,2,3−トリス(トリフルオロメタンスルホニルオキシ)ベンゼン、1,2,3−トリス(p−トルエンスルホニルオキシ)ベンゼン等のスルホン酸エステル誘導体を挙げることができる。
また、N−ヒドロキシイミド化合物のスルホン酸エステル誘導体としては、N−ヒドロキシスクシンイミドメタンスルホン酸エステル、N−ヒドロキシスクシンイミドトリフルオロメタンスルホン酸エステル、N−ヒドロキシスクシンイミドエタンスルホン酸エステル、N−ヒドロキシスクシンイミド1−プロパンスルホン酸エステル、N−ヒドロキシスクシンイミド2−プロパンスルホン酸エステル、N−ヒドロキシスクシンイミド1−ペンタンスルホン酸エステル、N−ヒドロキシスクシンイミド1−オクタンスルホン酸エステル、N−ヒドロキシスクシンイミドp−トルエンスルホン酸エステル、N−ヒドロキシスクシンイミドp−メトキシベンゼンスルホン酸エステル、N−ヒドロキシスクシンイミド2−クロロエタンスルホン酸エステル、N−ヒドロキシスクシンイミドベンゼンスルホン酸エステル、N−ヒドロキシスクシンイミド−2,4,6−トリメチルベンゼンスルホン酸エステル、N−ヒドロキシスクシンイミド1−ナフタレンスルホン酸エステル、N−ヒドロキシスクシンイミド2−ナフタレンスルホン酸エステル、N−ヒドロキシ−2−フェニルスクシンイミドメタンスルホン酸エステル、N−ヒドロキシマレイミドメタンスルホン酸エステル、N−ヒドロキシマレイミドエタンスルホン酸エステル、N−ヒドロキシ−2−フェニルマレイミドメタンスルホン酸エステル、N−ヒドロキシグルタルイミドメタンスルホン酸エステル、N−ヒドロキシグルタルイミドベンゼンスルホン酸エステル、N−ヒドロキシフタルイミドメタンスルホン酸エステル、N−ヒドロキシフタルイミドベンゼンスルホン酸エステル、N−ヒドロキシフタルイミドトリフルオロメタンスルホン酸エステル、N−ヒドロキシフタルイミドp−トルエンスルホン酸エステル、N−ヒドロキシナフタルイミドメタンスルホン酸エステル、N−ヒドロキシナフタルイミドベンゼンスルホン酸エステル、N−ヒドロキシ−5−ノルボルネン−2,3−ジカルボキシイミドメタンスルホン酸エステル、N−ヒドロキシ−5−ノルボルネン−2,3−ジカルボキシイミドトリフルオロメタンスルホン酸エステル、N−ヒドロキシ−5−ノルボルネン−2,3−ジカルボキシイミドp−トルエンスルホン酸エステル等のN−ヒドロキシイミド化合物のスルホン酸エステル誘導体等が挙げられる。
特に、トリフルオロメタンスルホン酸トリフェニルスルホニウム、トリフルオロメタンスルホン酸(p−tert−ブトキシフェニル)ジフェニルスルホニウム、トリフルオロメタンスルホン酸トリス(p−tert−ブトキシフェニル)スルホニウム、p−トルエンスルホン酸トリフェニルスルホニウム、p−トルエンスルホン酸(p−tert−ブトキシフェニル)ジフェニルスルホニウム、p−トルエンスルホン酸トリス(p−tert−ブトキシフェニル)スルホニウム、トリフルオロメタンスルホン酸トリナフチルスルホニウム、トリフルオロメタンスルホン酸シクロヘキシルメチル(2−オキソシクロヘキシル)スルホニウム、トリフルオロメタンスルホン酸(2−ノルボニル)メチル(2−オキソシクロヘキシル)スルホニウム、1,2’−ナフチルカルボニルメチルテトラヒドロチオフェニウムトリフレート等のオニウム塩、
ビス(ベンゼンスルホニル)ジアゾメタン、ビス(p−トルエンスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(n−ブチルスルホニル)ジアゾメタン、ビス(イソブチルスルホニル)ジアゾメタン、ビス(sec−ブチルスルホニル)ジアゾメタン、ビス(n−プロピルスルホニル)ジアゾメタン、ビス(イソプロピルスルホニル)ジアゾメタン、ビス(tert−ブチルスルホニル)ジアゾメタン等のジアゾメタン誘導体、
ビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム等のグリオキシム誘導体、
ビスナフチルスルホニルメタン等のビススルホン誘導体、
N−ヒドロキシスクシンイミドメタンスルホン酸エステル、N−ヒドロキシスクシンイミドトリフルオロメタンスルホン酸エステル、N−ヒドロキシスクシンイミド1−プロパンスルホン酸エステル、N−ヒドロキシスクシンイミド2−プロパンスルホン酸エステル、N−ヒドロキシスクシンイミド1−ペンタンスルホン酸エステル、N−ヒドロキシスクシンイミドp−トルエンスルホン酸エステル、N−ヒドロキシナフタルイミドメタンスルホン酸エステル、N−ヒドロキシナフタルイミドベンゼンスルホン酸エステル等のN−ヒドロキシイミド化合物のスルホン酸エステル誘導体が好ましく用いられる。
なお、上記酸発生剤は1種を単独で又は2種以上を組み合わせて用いることができる。
酸発生剤の添加量は、ベースポリマー100部(重量部、以下同様)に対して好ましくは0.1〜50部、より好ましくは0.5〜40部である。0.1部より少ないと酸発生量が少なく、架橋反応が不十分な場合があり、50部を超えると上層のフォトレジスト膜へ酸が移動することによるミキシング現象が起こる場合がある。
さらに、本発明の反射防止膜材料が、さらに架橋剤を含有するのが好ましい。
このように、上記本発明の反射防止膜材料が、さらに架橋剤を含有することで、基板等への塗布後のベーク等により、反射防止膜内での架橋反応を一層促進することができる。
ここで、本発明の反射防止膜材料が含有することができる架橋剤とは、酸によりポリマーと架橋する材料であり、例えばメチロール基、アルコキシメチル基、アシロキシメチル基から選ばれる少なくとも一つの基で置換されたメラミン化合物、グアナミン化合物、グリコールウリル化合物またはウレア化合物、エポキシ化合物、イソシアネート化合物、アジド化合物、アルケニルエーテル基などの2重結合を含む化合物等を挙げることができる。
前記諸化合物のうち、エポキシ化合物を例示すると、トリス(2,3−エポキシプロピル)イソシアヌレート、トリメチロールメタントリグリシジルエーテル、トリメチロールプロパントリグリシジルエーテル、トリエチロールエタントリグリシジルエーテルなどが例示される。メラミン化合物を具体的に例示すると、ヘキサメチロールメラミン、ヘキサメトキシメチルメラミン、ヘキサメチロールメラミンの1から6個のメチロール基がメトキシメチル化した化合物またはその混合物、ヘキサメトキシエチルメラミン、ヘキサアシロキシメチルメラミン、ヘキサメチロールメラミンのメチロール基の1〜5個がアシロキシメチル化した化合物またはその混合物が挙げられる。グアナミン化合物としては、テトラメチロールグアナミン、テトラメトキシメチルグアナミン、テトラメチロールグアナミンの1〜4個のメチロール基がメトキシメチル化した化合物またはその混合物、テトラメトキシエチルグアナミン、テトラアシロキシグアナミン、テトラメチロールグアナミンの1〜4個のメチロール基がアシロキシメチル化した化合物またはその混合物が挙げられる。グリコールウリル化合物としては、テトラメチロールグリコールウリル、テトラメトキシグリコールウリル、テトラメトキシメチルグリコールウリル、テトラメチロールグリコールウリルのメチロール基の1〜4個がメトキシメチル基化した化合物またはその混合物、テトラメチロールグリコールウリルのメチロール基の1〜4個がアシロキシメチル化した化合物またはその混合物が挙げられる。ウレア化合物としてはテトラメチロールウレア、テトラメトキシメチルウレア、テトラメチロールウレアの1〜4個のメチロール基がメトキシメチル基化した化合物またはその混合物、テトラメトキシエチルウレアなどが挙げられる。
イソシアネート化合物としては、トリレンジイソシアネート、ジフェニルメタンジイソシアネート、ヘキサメチレンジイソシアネート、シクロヘキサンジイソシアネート等が挙げられ、アジド化合物としては、1,1’−ビフェニル−4,4’−ビスアジド、4,4’−メチリデンビスアジド、4,4’−オキシビスアジドが挙げられる。
アルケニルエーテル基を含む化合物としては、エチレングリコールジビニルエーテル、トリエチレングリコールジビニルエーテル、1,2−プロパンジオールジビニルエーテル、1,4−ブタンジオールジビニルエーテル、テトラメチレングリコールジビニルエーテル、ネオペンチルグリコールジビニルエーテル、トリメチロールプロパントリビニルエーテル、ヘキサンジオールジビニルエーテル、1,4−シクロヘキサンジオールジビニルエーテル、ペンタエリスリトールトリビニルエーテル、ペンタエリスリトールテトラビニルエーテル、ソルビトールテトラビニルエーテル、ソルビトールペンタビニルエーテル、トリメチロールプロパントリビニルエーテルなどが挙げられる。
一般式(1)、(2)、(3)中のRが、架橋基としてエポキシを含有する場合、エポキシとの反応性を上げ、架橋効率を向上させるためには、ヒドロキシ基を含む化合物の添加が有効である。特に、添加剤としては、分子内に2個以上のヒドロキシ基を含む化合物が好ましい。例えば、4,8-ビス(ヒドロキシメチル)トリシクロ[5.2.1.02,6]-デカン、ペンタエリトリトール、1,2,6-ヘキサントリオール、4,4’,4’’−メチリデントリスシクロヘキサノール、4,4’−[1−[4−[1−(4−ヒドロキシシクロヘキシル)−1−メチルエチル]フェニル]エチリデン]ビスシクロヘキサノール、[1,1’−ビシクロヘキシル]−4,4’−ジオール、メチレンビスシクロヘキサノール、デカヒドロナフタレンー2,6−ジオール、[1,1’−ビシクロヘキシル]−3,3’,4,4’−テトラヒドロキシなどのアルコール基含有化合物、ビスフェノール、メチレンビスフェノール、2,2’−メチレンビス[4−メチルフェノール]、4,4’−メチリデン−ビス[2,6−ジメチルフェノール]、4,4’−(1−メチル−エチリデン)ビス[2−メチルフェノール]、4,4’−シクロヘキシリデンビスフェノール、4,4’−(1,3−ジメチルブチリデン)ビスフェノール、4,4’−(1−メチルエチリデン)ビス[2,6−ジーメチルフェノール]、4,4’−オキシビスフェノール、4,4’−メチレンビスフェノール、ビス(4−ヒドロキシフェニル)メタノン、4,4’−メチレンビス[2−メチルフェノール]、4,4’−[1,4−フェニレンビス(1−メチルエチリデン)]ビスフェノール、4,4’−(1,2−エタンジイル)ビスフェノール、4,4’−(ジエチルシリレン)ビスフェノール、4,4’−[2,2,2−トリフルオロ−1−(トリフルオロメチル)エチリデン]ビスフェノール、4,4’,4’’−メチリデントリスフェノール、4,4’−[1−(4−ヒドロキシフェニル)−1−メチルエチル]フェニル]エチリデン]ビスフェノール、2,6−ビス[(2−ヒドロキシ−5−メチルフェニル)メチル]−4−メチルフェノール、4,4’,4’’−エチリジントリス[2−メチルフェノール]、4,4’,4’’−エチリジントリスフェノール、4,6−ビス[(4−ヒドロキシフェニル)メチル]1,3−ベンゼンジオール、4,4’−[(3,4−ジヒドロキシフェニル)メチレン]ビス[2−メチルフェノール]、4,4’,4’’,4’’’−(1,2−エタンジイリデン)テトラキスフェノール、4,4’,4’’,4’’’−エタンジイリデン)テトラキス[2−メチルフェノール]、2,2’−メチレンビス[6−[(2−ヒドロキシ−5−メチルフェニル)メチル]−4−メチルフェノール]、4,4’,4’’,4’’’−(1,4−フェニレンジメチリジン)テトラキスフェノール、2,4,6−トリス(4−ヒドロキシフェニルメチル)1,3−ベンゼンジオール、2,4’,4’’−メチリデントリスフェノール、4,4’,4’’’−(3−メチル−1−プロパニル−3−イリデン)トリスフェノール、2,6−ビス[(4−ヒドロキシ−3−フロロフェニル)メチル]−4−フルオロフェノール、2,6−ビス[4−ヒドロキシ−3−フルオロフェニル]メチル]−4−フルオロフェノール、3,6−ビス「(3,5−ジメチル−4−ヒドロキシフェニル)メチル」1,2−ベンゼンジオール、4,6−ビス「(3,5−ジメチル−4−ヒドロキシフェニル)メチル」1,3−ベンゼンジオール、p−メチルカリックス[4]アレン、2,2’−メチレンビス[6−[(2,5/3,6−ジメチル−4/2−ヒドロキシフェニル)メチル]−4−メチルフェノール、2,2’−メチレンビス[6−[(3,5−ジメチル−4−ヒドロキシフェニル)メチル]−4−メチルフェノール、4,4’,4’’,4’’’−テトラキス[(1−メチルエチリデン)ビス(1,4−シクロヘキシリデン)]フェノール、6,6’−メチレンビス[4−(4−ヒドロキシフェニルメチル)−1,2,3−ベンゼントリオール、3,3’,5,5’−テトラキス[(5−メチル−2−ヒドロキシフェニル)メチル]−[(1,1’−ビフェニル)−4,4’−ジオール]などのフェノール低核体が挙げられる。
本発明におけるヒドロキシ含有添加剤の配合量は、全樹脂分100重量部に対して5〜50重量部が好ましく、特に10〜40重量部が好ましい。5重量部未満であるとレジストとミキシングを起こす場合があり、50重量部を超えると反射防止効果が低下したり、架橋後の膜にひび割れが入ることがある。
次に、本発明では、リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に本発明の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜及び基板をエッチングして基板にパターンを形成するパターン形成方法が提供される。
さらに、本発明では、リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に本発明の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、さらにパターンが形成された反射防止膜をマスクにして基板をエッチングして基板にパターンを形成するパターン形成方法も提供される。
これらのパターン形成方法について図2を参照して説明する。
先ず、図2(a)に示すレジストパターン形成までについて説明する。
反射防止膜10は、スピンコート法などで本発明の反射防止膜材料を基板12上に塗布して形成することが可能である。スピンコートなどで塗布後、有機溶剤を蒸発し、上層となるフォトレジスト膜11とのミキシング防止のため、ベークして架橋反応を促進させることが望ましい。ベーク温度は80〜300℃の範囲内で、ベーク時間は10秒から300秒の範囲内が好ましく用いられる。
反射防止膜10を形成した後、その上にフォトレジスト膜11を形成するが、反射防止膜の形成時と同様スピンコート法が好ましく用いられる。フォトレジスト膜材料をスピンコートなどで塗布後、プリベークを行うが、プリベーク条件としては、80℃から180℃の温度範囲で10秒から300秒の時間範囲が好ましい。その後、パターン回路領域の露光を行い、ポストエクスポジュアーベーク(PEB)、現像液での現像を行い、レジストパターンを得る(図2(a))。
次に、図2(b)に示すパターン形成までについて説明する。
フォトレジスト膜11をマスクとして反射防止膜10をエッチングするには、フロン系ガス、窒素ガス、炭酸ガスなどを使ってエッチングを行う。本発明の反射防止膜材料から形成された反射防止膜10は、前記ガスに対するエッチング速度が速く、上層のフォトレジスト膜11の膜減りが小さいという特徴がある。
次の基板12のエッチングは、下地層12b上の被加工層12aがSiO、SiNであればフロン系ガスを主体としたエッチング、p−SiやAl、Wでは塩素系、臭素系ガスを主体としたエッチングを行う。本発明の反射防止膜材料から形成された反射防止膜10は、塩素、臭素に対するエッチング耐性に優れ、特に被加工層がp−SiやAl、Wなどの場合、ハードマスクとして適用可能である。被加工層12aがSiO、SiN膜の場合においても、本発明の反射防止膜材料から形成された反射防止膜10は、フォトレジスト膜11よりはエッチング速度が速いが、基板12よりはエッチング速度が遅く、ハードマスクとして機能し得る。
従って、基板12の被加工層12aをエッチング除去してパターンを作成する場合、フォトレジスト膜11をマスクとしてもよいし、パターンが形成された反射防止膜10をマスクとして加工するようにしてもよい。
さらに、本発明では、リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に有機膜を形成し、該有機膜の上に本発明の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、パターンが形成された反射防止膜をマスクにして有機膜をエッチングし、さらに基板をエッチングして基板にパターンを形成するパターン形成方法が提供される。
このように、本発明の反射防止膜材料から形成された反射防止膜は、例えば3層レジストプロセスといった多層レジストプロセスにおいて中間層としての適用も可能である。このパターン形成方法について図3を参照して説明する。
先ず、図3(a)に示すレジストパターン形成までについて説明する。
基板22上に有機膜23をスピンコート法などで形成する。この有機膜23は、基板22をエッチングするときのマスクとして作用するので、エッチング耐性が高いことが望ましく、上層の珪素含有反射防止膜20とミキシングしないことが求められるので、スピンコート等で塗布した後に熱あるいは酸によって架橋することが望ましい。この有機膜23の上に本発明の反射防止膜材料から形成する反射防止膜20、フォトレジスト膜21を前記方法と同様の方法で作成する。その後、パターン回路領域の露光、現像液での現像によってレジストパターンを得る(図3(a))。
ここで、有機膜としては、クレゾールノボラック、ナフトールノボラック、カトールジシクロペンタジエンノボラック、アモルファスカーボン、ポリヒドロキシスチレン、(メタ)アクリレート、ポリイミド、ポリスルフォン等の樹脂が挙げられる。
次に、図3(b)に示すように、パターンが形成されたフォトレジスト膜21をマスクとして反射防止膜20のエッチングを行い、レジストパターンを反射防止膜20に転写する。次に、図3(c)に示すように、反射防止膜20に形成されたパターンを酸素プラズマエッチングなどによって有機膜23に転写する。この時、フォトレジスト膜21も同時にエッチング除去される。次に、図3(d)に示すように、下地層22bの上の被加工層22aのエッチングを行い、基板22にパターンを形成する。
以下、合成例、比較合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明はこれらの記載によって限定されるものではない。
(合成例1)
テトラヒドロフラン(THF)200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gと3−アセトキシプロピルトリメトキシシラン22.3gを溶解させ液温を35℃にし、37%塩酸水を21g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応、塩酸の付加反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー1を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=3300
共重合比 a1:b1:c1=0.35:0.3:0.35
(合成例2)
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gと3−アセトキシプロピルトリメトキシシラン22.3gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー2を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=3100
共重合比 a1:b1:c1=0.3:0.3:0.4
(合成例3)
テトラヒドロフラン200g、純水100gに2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン24.6gとフェニルトリメトキシシラン19.8gと2−シアノエチルトリメトキシシラン17.2gを溶解させ液温を35℃にし、テトラメチルアンモニウムヒドロキシドを1.7g添加し、その後60℃に昇温し、シラノールの縮合反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層1%の酢酸とを超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー3を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=2500
共重合比 a1:b1:c1=0.26:0.31:0.43
(合成例4)
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gと3−ブチル酸メチルトリメトキシシラン22.3gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー4を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=3800
共重合比 a1:b1:c1=0.42:0.28:0.30
(合成例5)
テトラヒドロフラン200g、純水100gにトリス(トリメチルシリル)シリルエチルトリメトキシシラン15.9gと3-グリシドキシプロピルトリエトキシシラン28.3gと3−アセトキシプロピルトリメトキシシラン22.3gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー5を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=2500
共重合比 a1:b1:c1=0.34:0.38:0.28
(合成例6)
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gとフェニルトリメトキシシラン19.8gとメタノール12gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応とメトキシ化を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー6を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=4200
共重合比 a2:b2=0.65:0.35
(合成例7)
テトラヒドロフラン200g、純水100gに3-グリシドキシプロピルトリメトキシシラン23.6gと2−アセトキシプロピルトリメトキシシラン48.8gを溶解させ液温を35℃にし、70%硝酸を9.6g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー7を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=4500
共重合比 a3:c2=0.28:0.72
(合成例8)
テトラヒドロフラン200g、純水100gに2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン24.6gとフェニルトリメトキシシラン19.8gとスピロ[2−オキソオキソラン−3,5’−ビシクロ[2.2.1]ヘプタントリメトキシシラン]28.6gを溶解させ液温を35℃にし、テトラメチルアンモニウムヒドロキシドを1.7g添加し、その後60℃に昇温し、シラノールの縮合反応を行った。
前記反応液にジエチルエーテル200g加え水層を分別し、有機液層1%の酢酸とを超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示されるポリマー8を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=2300
共重合比 a1:b1:c1=0.32:0.30:0.38
(比較合成例1)
テトラヒドロフラン(THF)200g、純水100gにフェニルトリメトキシシラン39.6gと3-グリシドキシプロピルトリエトキシシラン28.3g、メタノール12gを溶解させ液温を35℃にし、37%塩酸水を21g、1時間かけて滴下し、その後64℃に昇温し、シラノールの縮合反応とエポキシ基の開環反応、メトキシ化反応を行った。
前記反応液にジエチルエーテルを200g加え水層を分別し、有機液層を超純水で2回洗浄、プロピレングリコールモノメチルエーテルアセテート(PGMEA)を200g加え、液温を60℃に加熱しながらの減圧下にTHF、ジエチルエーテル水を除去し、下に示される比較ポリマー1を得た。
Figure 2004310019
ゲルパーミエーションクロマトグラフィー(GPC)によってポリスチレン換算の分子量(Mw)を求め、C13−NMRによって共重合比を下記の通りに求めた。
分子量(Mw)=4500
共重合比 h:i=0.72:0.28
(実施例、比較例)
[反射防止膜材料の調整]
上記合成例1〜8、比較合成例1で得られた高分子化合物を用いて、FC−430(住友スリーエム社製)0.1重量%を含む有機溶剤中に表1に示す割合で溶解させ、0.1μmの弗素樹脂製のフィルターでろ過することによって反射防止膜材料(実施例1〜9、比較例1)をそれぞれ調製した。
表1中の各組成は次の通りである。
ポリマー1〜8: 合成例1〜8より、
比較ポリマー1: 比較合成例1より、
架橋剤: CR1、CR2、OH−Additive1(下記構造式参照。)、
Figure 2004310019
酸発生剤: AG1、AG2(下記構造式参照。)、
Figure 2004310019
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)。
このようにして調製した反射防止膜材料をシリコン基板上に塗布して、200℃で120秒間ベークして膜厚193nmの反射防止膜を形成した。
反射防止膜を形成後、J.A.ウーラム社の入射角度可変の分光エリプソメーター(VASE)で波長193nmにおける反射防止膜の屈折率(n,k)を求め結果を表1に示した。
Figure 2004310019
表1に示すように、実施例1〜9、比較例1の反射防止膜は、屈折率のn値が1.5〜1.9、k値が0.15以上の範囲であり、十分な反射防止効果を発揮できるだけの最適なn値及びk値を有することが判る。
[フォトレジスト膜材料の調製]
フォトレジスト膜材料のベース樹脂として下記重合体(ポリマーA〜ポリマーC)を準備した。
Figure 2004310019
ポリマーAは、上に示される繰り返し単位s、tからなる重合体である。この重合体の共重合比及び重量平均分子量(Mw)を以下に示す。
共重合比 s:t=0.40:0.60
分子量(Mw)=8800
Figure 2004310019
ポリマーBは、上に示される繰り返し単位u、vからなる重合体である。この重合体の共重合比及び重量平均分子量(Mw)を以下に示す。
共重合比 u:v=0.50:0.50
重量平均分子量(Mw)=8300
Figure 2004310019
ポリマーCは、上に示される繰り返し単位w、xからなる重合体である。この重合体の共重合比及び重量平均分子量(Mw)を以下に示す。
共重合比 w:x=0.40:0.60
重量平均分子量(Mw)=18300
上記準備した重合体(ポリマーA〜ポリマーC)を用いて、下記表2に示す組成でArFリソグラフィー用のフォトレジスト膜材料1〜3をそれぞれ調製した。
表2中の各組成は次の通りである。
ポリマー:ポリマーA〜ポリマーC、
酸発生剤:PAG1(下記構造式参照。)、
Figure 2004310019
塩基添加剤:トリエタノールアミン、
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)。
Figure 2004310019
[パターン形状の観察、及びエッチング耐性試験]
(1)パターン形状の観察
上記調製した反射防止膜材料(実施例1〜9、比較例1)をシリコン基板上に塗布して、200℃で120秒間ベークして膜厚193nmの反射防止膜を形成した。
次に、反射防止膜の上に、表3に示される組合せで、上記調製したフォトレジスト膜材料1〜3を塗布して、120℃で60秒間ベークし、膜厚250nmのフォトレジスト膜を形成した。
次いで、ArF露光装置(ニコン社製;S305B、NA0.68、σ0.85、2/3輪体照明、Crマスク)で露光し、110℃で90秒間ベーク(PEB)し、2.38重量%テトラメチルアンモニウムヒドロキシド(TMAH)水溶液で現像し、0.13μmラインアンドスペースのポジ型のレジストパターンを得た。得られたレジストパターン形状(フォトレジスト膜の断面形状)について、裾引きやアンダーカット、インターミキシング現象が起きていないかを観察し、結果を表3に示した。
Figure 2004310019
その結果、実施例1〜9の反射防止膜材料を用いた場合では、フォトレジスト膜は反射防止膜との境界付近で裾引きやアンダーカット、インターミキシング現象が起きておらず、矩形のパターンが得られていることを確認した。しかし、比較例1の反射防止膜材料を用いた場合、やや逆テーパーおよび裾引きが観察された。
(2)エッチング耐性試験
前記反射防止膜材料(実施例1〜9、比較例1)から形成した反射防止膜、及び前記フォトレジスト膜材料(フォトレジス膜材料1〜3)から形成したフォトレジスト膜のエッチング耐性について、以下のような2系統の条件で評価した。
1) CHF3/CF4系ガスでのエッチング試験
東京エレクトロン株式会社製ドライエッチング装置TE−8500Pを用い、エッチング前後の反射防止膜、フォトレジスト膜、SiO膜の膜厚差を測定した。
エッチング条件は下記に示す通りである。
チャンバー圧力 40Pa
RFパワー 1,300W
ギヤップ 9mm
CHFガス流量 30ml/min
CFガス流量 30ml/min
Arガス流量 100ml/min
時間 10sec
この結果を表4に示した。
Figure 2004310019
表4に示すように、本発明の反射防止膜材料(実施例1〜実施例9)から形成した反射防止膜は、CHF3/CF4系ガスでのドライエッチングの速度が、フォトレジスト膜に比べて十分に速い速度で、SiO膜に比べれば十分に遅いものである。そのため、基板の被加工層がSiO膜の場合、基板エッチングにおけるハードマスクとしての十分な機能を有する。
2) Cl2/BCl3系ガスでのエッチング試験
日電アネルバ株式会社製ドライエッチング装置L−507D−Lを用い、エッチング前後の反射防止膜、p−Siの膜厚差を求めた。
エッチング条件は下記に示す通りである。
チャンバー圧力 40.0Pa
RFパワー 300W
ギャップ 9mm
Cl2ガス流量 30ml/min
BCl3ガス流量 30ml/min
CHF3ガス流量 100ml/min
2ガス流量 2ml/min
時間 60sec
この結果を表5に示した。
Figure 2004310019
表5に示すように、本発明の反射防止膜材料(実施例1〜実施例9)から形成した反射防止膜は、Cl2/BCl3系ガスでのドライエッチングの速度が、p−Siに比較して十分に遅いものである。したがって、基板の被加工層がp−Siの場合には、ハードマスクとしての性能を満たしている。
尚、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。
反射防止膜の膜厚と反射率の関係を示すグラフである。 本発明のパターン形成方法に関する説明図である。(a)現像後のレジストパターン、(b)基板ドライエッチング後のパターン。 本発明の別のパターン形成方法に関する説明図である。(a)現像後のレジストパターン、(b)反射防止膜に転写されたパターン、(c)有機膜に転写されたパターン、(d)基板ドライエッチング後のパターン。
符号の説明
10、20…反射防止膜、 11、21…フォトレジスト膜、 12、22…基板、
12a,22a…被加工層、 12b,22b…下地層、 23…有機膜。

Claims (9)

  1. リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(1)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料。
    Figure 2004310019
    ( 式中、Rは架橋基を有する1価の有機基、Rは光吸収基を有する1価の有機基であり、Rはカルボニル、エステル、ラクトン、アミド、エーテル、ニトリルから選ばれる少なくとも1つの官能基を有する1価の有機基であり、0<a1<1、0<b1<1、0<c1<1、0.5≦a1+b1+c1≦1の範囲である。R、R、Rは水素原子、ヒドロキシ基、炭素数1〜6のアルキル基、炭素数6〜10のアリール基、炭素数1〜6のフッ素化されたアルキル基であり、m、n、pはそれぞれ0又は1である。)
  2. リソグラフィーで用いられる反射防止膜材料であって、少なくとも、下記一般式(2)で示される共重合による繰り返し単位を有する高分子化合物と、下記一般式(3)で示される共重合による繰り返し単位を有する高分子化合物を含むものであることを特徴とする反射防止膜材料。
    Figure 2004310019
    ( 式中、R、R、R、R、R、R、m、n、pは前述の通り、0<a2<1、0<b2<1、0.5≦a2+b2≦1の範囲、0<a3<1、0<c2<1、0.5≦a3+c2≦1の範囲である。)
  3. 請求項1又は請求項2に記載した反射防止膜材料であって、さらに有機溶剤及び/又は酸発生剤を含有するものであることを特徴とする反射防止膜材料。
  4. 請求項1乃至請求項3のいずれか1項に記載した反射防止膜材料であって、さらに架橋剤を含有するものであることを特徴とする反射防止膜材料。
  5. 請求項1乃至請求項4のいずれか1項に記載の反射防止膜材料であって、該反射防止膜材料に含まれる高分子化合物が有する繰り返し単位中の前記光吸収基が、芳香族系の基又はSi−Si結合を有する基であることを特徴とする反射防止膜材料。
  6. 少なくとも、基板上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料をベークして得られる反射防止膜を有するものであることを特徴とする基板。
  7. リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜及び基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法。
  8. リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、さらにパターンが形成された反射防止膜をマスクにして基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法。
  9. リソグラフィーにより基板にパターンを形成する方法であって、少なくとも、基板上に有機膜を形成し、該有機膜の上に請求項1乃至請求項5のいずれか1項に記載の反射防止膜材料を塗布し、ベークして反射防止膜を形成し、該反射防止膜上にフォトレジスト膜材料を塗布し、プリベークしてフォトレジスト膜を形成し、該フォトレジスト膜のパターン回路領域を露光した後、現像液で現像してフォトレジスト膜にレジストパターンを形成し、該レジストパターンが形成されたフォトレジスト膜をマスクにして反射防止膜をエッチングし、パターンが形成された反射防止膜をマスクにして有機膜をエッチングし、さらに基板をエッチングして基板にパターンを形成することを特徴とするパターン形成方法。
JP2003369596A 2003-03-24 2003-10-29 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法 Expired - Lifetime JP4369203B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2003369596A JP4369203B2 (ja) 2003-03-24 2003-10-29 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
US10/797,201 US7163778B2 (en) 2003-03-24 2004-03-11 Anti-reflection film material and a substrate having an anti-reflection film and a method for forming a pattern
TW093107775A TWI273347B (en) 2003-03-24 2004-03-23 Anti-reflection film material and substrate having anti-reflection film and method for forming pattern
KR1020040019527A KR101001399B1 (ko) 2003-03-24 2004-03-23 반사 방지막 재료, 반사 방지막을 갖는 기판 및 패턴 형성방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003081205 2003-03-24
JP2003369596A JP4369203B2 (ja) 2003-03-24 2003-10-29 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法

Publications (2)

Publication Number Publication Date
JP2004310019A true JP2004310019A (ja) 2004-11-04
JP4369203B2 JP4369203B2 (ja) 2009-11-18

Family

ID=32993015

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003369596A Expired - Lifetime JP4369203B2 (ja) 2003-03-24 2003-10-29 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法

Country Status (4)

Country Link
US (1) US7163778B2 (ja)
JP (1) JP4369203B2 (ja)
KR (1) KR101001399B1 (ja)
TW (1) TWI273347B (ja)

Cited By (407)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005015779A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005018054A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005338380A (ja) * 2004-05-26 2005-12-08 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP2005352104A (ja) * 2004-06-10 2005-12-22 Shin Etsu Chem Co Ltd 反射防止膜材料、及びこれの製造方法、これを用いた反射防止膜、パターン形成
JP2006084799A (ja) * 2004-09-16 2006-03-30 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物およびこれを用いた配線形成方法
WO2006093057A1 (ja) * 2005-03-01 2006-09-08 Jsr Corporation レジスト下層膜用組成物およびその製造方法
JP2006317864A (ja) * 2005-05-16 2006-11-24 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、および配線形成方法
WO2006132089A1 (ja) * 2005-06-07 2006-12-14 Tokyo Ohka Kogyo Co., Ltd. 反射防止膜形成用熱酸発生剤、反射防止膜形成用組成物、これを用いた反射防止膜
JP2006343416A (ja) * 2005-06-07 2006-12-21 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、それを用いた反射防止膜
WO2007066597A1 (ja) * 2005-12-06 2007-06-14 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物
JP2007164149A (ja) * 2005-11-21 2007-06-28 Shin Etsu Chem Co Ltd ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法
JP2007163846A (ja) * 2005-12-14 2007-06-28 Shin Etsu Chem Co Ltd 反射防止膜材料、及びこれを用いたパターン形成方法、基板
JP2007165838A (ja) * 2005-11-16 2007-06-28 Shin Etsu Chem Co Ltd フォトレジスト膜のリワーク方法
JP2007218943A (ja) * 2006-02-14 2007-08-30 Shin Etsu Chem Co Ltd 基板及びパターン形成方法
JP2007226170A (ja) * 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP2007226204A (ja) * 2006-01-25 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、基板、及びパターン形成方法
KR100796047B1 (ko) 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
JP2008083668A (ja) * 2006-08-30 2008-04-10 Shin Etsu Chem Co Ltd フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法
JP2008158002A (ja) * 2006-12-20 2008-07-10 Jsr Corp レジスト下層膜用組成物及びその製造方法
JP2008525824A (ja) * 2004-12-17 2008-07-17 ダウ・コーニング・コーポレイション 反射防止膜の形成方法
JP2008216530A (ja) * 2007-03-02 2008-09-18 Nissan Chem Ind Ltd 多核フェノールを含むレジスト下層膜形成組成物
WO2009016848A1 (ja) * 2007-08-02 2009-02-05 Nippon Soda Co., Ltd. シルセスキオキサンを含有する組成物及びシルセスキオキサン含有ヒドロキシアルキルセルロース樹脂組成物
WO2009101753A1 (ja) * 2008-02-14 2009-08-20 Lintec Corporation ポリオルガノシロキサン化合物からなる成形材料、封止材及び光素子封止体
JP2010085878A (ja) * 2008-10-02 2010-04-15 Tokyo Ohka Kogyo Co Ltd レジスト下層膜形成用組成物
US7871761B2 (en) 2006-08-01 2011-01-18 Shin-Etsu Chemical Co., Ltd. Resist lower layer material, resist lower layer substrate comprising the material and method for forming pattern
US8101341B2 (en) 2009-01-15 2012-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process
KR101127265B1 (ko) * 2005-11-16 2012-04-12 신에쓰 가가꾸 고교 가부시끼가이샤 포토레지스트막의 리워크 방법
EP2447775A1 (en) 2010-11-01 2012-05-02 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8192921B2 (en) 2009-01-15 2012-06-05 Shin-Etsu Chemical Co., Ltd. Patterning process
EP2461214A1 (en) 2010-12-01 2012-06-06 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8216774B2 (en) 2009-02-12 2012-07-10 Shin-Etsu Chemical Co., Ltd. Patterning process
EP2474861A1 (en) 2011-01-05 2012-07-11 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
EP2476713A1 (en) 2011-01-14 2012-07-18 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8283103B2 (en) 2007-08-27 2012-10-09 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film for lithography and production method of semiconductor device
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8323536B2 (en) 2010-11-12 2012-12-04 Shin-Etsu Chemical Co., Ltd. Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film
US8349533B2 (en) 2008-11-07 2013-01-08 Shin-Etsu Chemical Co., Ltd. Resist lower-layer composition containing thermal acid generator, resist lower layer film-formed substrate, and patterning process
KR101225417B1 (ko) 2005-11-21 2013-01-22 신에쓰 가가꾸 고교 가부시끼가이샤 규소 함유 반사 방지막 형성용 조성물, 규소 함유 반사방지막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법
KR20130102494A (ko) 2012-03-07 2013-09-17 제이에스알 가부시끼가이샤 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
KR20130111396A (ko) 2012-03-29 2013-10-10 제이에스알 가부시끼가이샤 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
WO2014069329A1 (ja) 2012-10-31 2014-05-08 日産化学工業株式会社 エステル基を有するシリコン含有レジスト下層膜形成組成物
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
US8795955B2 (en) 2010-06-21 2014-08-05 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process
JP2014157242A (ja) * 2013-02-15 2014-08-28 Shin Etsu Chem Co Ltd レジスト下層膜形成用組成物及びパターン形成方法
US8835697B2 (en) 2011-03-28 2014-09-16 Shin-Etsu Chemical Co., Ltd. Biphenyl derivative, resist bottom layer material, bottom layer forming method, and patterning process
US8846846B2 (en) 2010-09-10 2014-09-30 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
EP2816409A1 (en) 2013-05-08 2014-12-24 Shin-Etsu Chemical Co., Ltd. Method for forming a resist under layer film and patterning process
US9046764B2 (en) 2012-01-04 2015-06-02 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition, method for producing polymer for resist underlayer film, and patterning process using the resist underlayer film composition
KR20150097550A (ko) 2012-12-19 2015-08-26 닛산 가가쿠 고교 가부시키 가이샤 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
US9146468B2 (en) 2011-10-11 2015-09-29 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
JP2015200796A (ja) * 2014-04-08 2015-11-12 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 下層膜形成用組成物
US9250526B2 (en) 2012-03-07 2016-02-02 Jsr Corporation Composition for forming resist underlayer film, and pattern-forming method
US9268229B2 (en) 2012-03-29 2016-02-23 Jsr Corporation Composition for forming resist underlayer film, and pattern-forming method
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
JP2016128925A (ja) * 2012-02-09 2016-07-14 日産化学工業株式会社 レジスト下層膜形成組成物
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
WO2017141612A1 (ja) * 2016-02-15 2017-08-24 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9857686B2 (en) 2015-07-13 2018-01-02 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2018079599A1 (ja) * 2016-10-27 2018-05-03 日産化学工業株式会社 ジヒドロキシ基を有する有機基を含むシリコン含有レジスト下層膜形成組成物
US9984891B2 (en) 2016-04-21 2018-05-29 Shin-Etsu Chemical Co., Ltd. Method for forming organic film and method for manufacturing substrate for semiconductor apparatus
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
EP3508918A1 (en) 2017-12-26 2019-07-10 Shin-Etsu Chemical Co., Ltd. Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20190135026A (ko) 2017-03-31 2019-12-05 닛산 가가쿠 가부시키가이샤 카르보닐구조를 갖는 실리콘함유 레지스트 하층막 형성 조성물
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR20200020700A (ko) 2017-06-16 2020-02-26 제이에스알 가부시끼가이샤 패턴 형성 방법 및 euv 리소그래피용 규소 함유막 형성 조성물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
EP3623867A1 (en) 2018-09-13 2020-03-18 Shin-Etsu Chemical Co., Ltd. Patterning process
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR20200035012A (ko) 2017-07-24 2020-04-01 제이에스알 가부시끼가이샤 극단 자외선 또는 전자선 리소그래피용 금속 함유막 형성 조성물, 극단 자외선 또는 전자선 리소그래피용 금속 함유막 및 패턴 형성 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR20200053495A (ko) 2017-09-19 2020-05-18 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 기판의 처리 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20200106499A (ko) 2018-01-09 2020-09-14 제이에스알 가부시끼가이샤 패터닝된 기판의 제조 방법
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7202013B2 (en) * 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
JP4553113B2 (ja) * 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
US7279793B2 (en) * 2004-12-08 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for manufacturing semiconductor devices using an anti-reflective coating layer
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US20060292876A1 (en) * 2005-06-21 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium
EP1762895B1 (en) * 2005-08-29 2016-02-24 Rohm and Haas Electronic Materials, L.L.C. Antireflective Hard Mask Compositions
JP4597844B2 (ja) * 2005-11-21 2010-12-15 信越化学工業株式会社 フォトレジスト膜のリワーク方法
KR100725795B1 (ko) * 2005-12-26 2007-06-08 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
KR100713231B1 (ko) * 2005-12-26 2007-05-02 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한반도체 집적회로 디바이스의 제조방법
US7585613B2 (en) * 2006-01-25 2009-09-08 Shin-Etsu Chemical Co., Ltd. Antireflection film composition, substrate, and patterning process
US20070231736A1 (en) * 2006-03-28 2007-10-04 Chen Kuang-Jung J Bottom antireflective coating composition and method for use thereof
DE602007000498D1 (de) * 2006-04-11 2009-03-12 Shinetsu Chemical Co Siliziumhaltige, folienbildende Zusammensetzung, siliziumhaltige Folie, siliziumhaltiges, folientragendes Substrat und Strukturierungsverfahren
JP4548616B2 (ja) 2006-05-15 2010-09-22 信越化学工業株式会社 熱酸発生剤及びこれを含むレジスト下層膜材料、並びにこのレジスト下層膜材料を用いたパターン形成方法
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
EP2420892A1 (en) * 2006-10-30 2012-02-22 Rohm and Haas Electronic Materials LLC Compositions and processes for immersion lithography
KR101523393B1 (ko) * 2007-02-27 2015-05-27 이엠디 퍼포먼스 머티리얼스 코프. 규소를 주성분으로 하는 반사 방지 코팅 조성물
US20080241489A1 (en) * 2007-03-30 2008-10-02 Renesas Technology Corp. Method of forming resist pattern and semiconductor device manufactured with the same
KR101485844B1 (ko) 2007-04-06 2015-01-26 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 코팅 조성물
JP2008309929A (ja) * 2007-06-13 2008-12-25 Tokyo Ohka Kogyo Co Ltd レジスト下層膜形成用組成物およびレジスト下層膜
EP2056162B1 (en) 2007-11-05 2016-05-04 Rohm and Haas Electronic Materials LLC Process for immersion lithography
JP2009117832A (ja) 2007-11-06 2009-05-28 Asml Netherlands Bv リソグラフィの基板を準備する方法、基板、デバイス製造方法、密封コーティングアプリケータ及び密封コーティング測定装置
US8772376B2 (en) 2009-08-18 2014-07-08 International Business Machines Corporation Near-infrared absorbing film compositions
US8323876B1 (en) * 2010-06-18 2012-12-04 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices using anti-penetration films to block acid transfer into anti-reflective coatings
JP5902529B2 (ja) * 2012-03-28 2016-04-13 株式会社ディスコ レーザ加工方法
TWI443465B (zh) * 2012-04-23 2014-07-01 Chi Mei Corp 感光性聚矽氧烷組成物、保護膜及具有保護膜的元件
KR102099712B1 (ko) * 2013-01-15 2020-04-10 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102397179B1 (ko) * 2018-12-21 2022-05-11 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3741932A (en) * 1972-04-10 1973-06-26 Minnesota Mining & Mfg Curable epoxy organopolysiloxanes having pendant chromophoric groups
JPS56129261A (en) 1980-03-17 1981-10-09 Hitachi Ltd Thin film-forming coating liquid composition
JPS5783563A (en) 1980-11-12 1982-05-25 Fujitsu Ltd Liquid resin composition
JPS57131250A (en) 1981-02-09 1982-08-14 Fujitsu Ltd Silicone resin composition
JP2999603B2 (ja) 1990-09-14 2000-01-17 ヒュンダイ エレクトロニクス アメリカ スピンオングラス組成物、ハードマスクおよびハードマスク製造法
JP3118887B2 (ja) 1990-11-30 2000-12-18 株式会社日立製作所 パターン形成方法
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US5294680A (en) * 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
JP3082473B2 (ja) 1992-10-05 2000-08-28 ジェイエスアール株式会社 反射防止膜およびレジストパターンの形成方法
JPH06138664A (ja) 1992-10-26 1994-05-20 Mitsubishi Electric Corp パターン形成方法
JP3568563B2 (ja) 1993-09-03 2004-09-22 呉羽化学工業株式会社 二次電池電極用炭素質材料およびその製造法
JP3287119B2 (ja) 1994-07-13 2002-05-27 住友化学工業株式会社 シリカ系絶縁膜形成用塗布液
JP2953562B2 (ja) 1994-07-18 1999-09-27 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いた多層レジスト材料
JPH08179509A (ja) 1994-10-28 1996-07-12 Mitsubishi Chem Corp 反射防止組成物及びレジストパターン形成方法
JPH1069072A (ja) 1996-08-28 1998-03-10 Tokyo Ohka Kogyo Co Ltd リソグラフィー用下地材
JPH1160735A (ja) 1996-12-09 1999-03-05 Toshiba Corp ポリシランおよびパターン形成方法
US6069170A (en) * 1997-08-05 2000-05-30 Roche Vitamins Inc. Light screening compounds and compositions
TW546542B (en) * 1997-08-06 2003-08-11 Shinetsu Chemical Co High molecular weight silicone compounds, resist compositions, and patterning method
JP4096138B2 (ja) 1999-04-12 2008-06-04 Jsr株式会社 レジスト下層膜用組成物の製造方法
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer

Cited By (549)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005018054A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005015779A (ja) * 2003-06-03 2005-01-20 Shin Etsu Chem Co Ltd 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP2005338380A (ja) * 2004-05-26 2005-12-08 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP4563076B2 (ja) * 2004-05-26 2010-10-13 東京応化工業株式会社 反射防止膜形成用組成物、該反射防止膜形成用組成物からなる反射防止膜、および該反射防止膜形成用組成物を用いたレジストパターン形成方法
JP2005352104A (ja) * 2004-06-10 2005-12-22 Shin Etsu Chem Co Ltd 反射防止膜材料、及びこれの製造方法、これを用いた反射防止膜、パターン形成
JP4491283B2 (ja) * 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
JP2006084799A (ja) * 2004-09-16 2006-03-30 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物およびこれを用いた配線形成方法
JP4541080B2 (ja) * 2004-09-16 2010-09-08 東京応化工業株式会社 反射防止膜形成用組成物およびこれを用いた配線形成方法
JP2008525824A (ja) * 2004-12-17 2008-07-17 ダウ・コーニング・コーポレイション 反射防止膜の形成方法
JP4688882B2 (ja) * 2004-12-17 2011-05-25 ダウ・コーニング・コーポレイション 反射防止膜の形成方法、レジスト画像の形成方法、パターンの形成方法及び電子デバイスの製造方法
JP4692540B2 (ja) * 2005-03-01 2011-06-01 Jsr株式会社 レジスト下層膜用組成物およびその製造方法
JPWO2006093057A1 (ja) * 2005-03-01 2008-08-07 Jsr株式会社 レジスト下層膜用組成物およびその製造方法
TWI383262B (zh) * 2005-03-01 2013-01-21 Jsr Corp Composition for photoresist underlayer film and method for producing the same
US8808446B2 (en) 2005-03-01 2014-08-19 Jsr Corporation Composition for resist underlayer film and process for producing same
WO2006093057A1 (ja) * 2005-03-01 2006-09-08 Jsr Corporation レジスト下層膜用組成物およびその製造方法
US8968458B2 (en) 2005-03-01 2015-03-03 Jsr Corporation Composition for resist underlayer film and process for producing same
KR100882794B1 (ko) * 2005-03-01 2009-02-09 제이에스알 가부시끼가이샤 레지스트 하층막용 조성물 및 그의 제조 방법
JP2006317864A (ja) * 2005-05-16 2006-11-24 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、および配線形成方法
JP4583237B2 (ja) * 2005-05-16 2010-11-17 東京応化工業株式会社 反射防止膜形成用組成物、および配線形成方法
WO2006132089A1 (ja) * 2005-06-07 2006-12-14 Tokyo Ohka Kogyo Co., Ltd. 反射防止膜形成用熱酸発生剤、反射防止膜形成用組成物、これを用いた反射防止膜
JP5080250B2 (ja) * 2005-06-07 2012-11-21 東京応化工業株式会社 反射防止膜形成用組成物、およびこれを用いた反射防止膜
JP4602842B2 (ja) * 2005-06-07 2010-12-22 東京応化工業株式会社 反射防止膜形成用組成物、それを用いた反射防止膜
US7785768B2 (en) 2005-06-07 2010-08-31 Tokyo Ohka Kogyo Co. Ltd. Thermoacid generator for antireflection film formation, composition for antireflection film formation, and antireflection film made therefrom
JP2006343416A (ja) * 2005-06-07 2006-12-21 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物、それを用いた反射防止膜
JP2012128436A (ja) * 2005-06-07 2012-07-05 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用熱酸発生剤、反射防止膜形成用組成物、およびこれを用いた反射防止膜
JP2007165838A (ja) * 2005-11-16 2007-06-28 Shin Etsu Chem Co Ltd フォトレジスト膜のリワーク方法
KR101127265B1 (ko) * 2005-11-16 2012-04-12 신에쓰 가가꾸 고교 가부시끼가이샤 포토레지스트막의 리워크 방법
JP2007164149A (ja) * 2005-11-21 2007-06-28 Shin Etsu Chem Co Ltd ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法
KR101225417B1 (ko) 2005-11-21 2013-01-22 신에쓰 가가꾸 고교 가부시끼가이샤 규소 함유 반사 방지막 형성용 조성물, 규소 함유 반사방지막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법
JP5110283B2 (ja) * 2005-12-06 2012-12-26 日産化学工業株式会社 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物
WO2007066597A1 (ja) * 2005-12-06 2007-06-14 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物
CN101322074B (zh) * 2005-12-06 2013-01-23 日产化学工业株式会社 用于形成光交联固化的抗蚀剂下层膜的含有硅的抗蚀剂下层膜形成用组合物
US8048615B2 (en) 2005-12-06 2011-11-01 Nissan Chemical Industries, Ltd. Silicon-containing resist underlayer coating forming composition for forming photo-crosslinking cured resist underlayer coating
JP4553835B2 (ja) * 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
JP2007163846A (ja) * 2005-12-14 2007-06-28 Shin Etsu Chem Co Ltd 反射防止膜材料、及びこれを用いたパターン形成方法、基板
JP2007226204A (ja) * 2006-01-25 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、基板、及びパターン形成方法
JP2007226170A (ja) * 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP4638380B2 (ja) * 2006-01-27 2011-02-23 信越化学工業株式会社 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP2007218943A (ja) * 2006-02-14 2007-08-30 Shin Etsu Chem Co Ltd 基板及びパターン形成方法
US7871761B2 (en) 2006-08-01 2011-01-18 Shin-Etsu Chemical Co., Ltd. Resist lower layer material, resist lower layer substrate comprising the material and method for forming pattern
JP2008083668A (ja) * 2006-08-30 2008-04-10 Shin Etsu Chem Co Ltd フォトレジスト下層膜材料、フォトレジスト下層膜基板及びパターン形成方法
KR100796047B1 (ko) 2006-11-21 2008-01-21 제일모직주식회사 레지스트 하층막용 하드마스크 조성물, 이를 이용한 반도체집적회로 디바이스의 제조방법 및 그로부터 제조된 반도체집적회로 디바이스
JP2008158002A (ja) * 2006-12-20 2008-07-10 Jsr Corp レジスト下層膜用組成物及びその製造方法
JP2008216530A (ja) * 2007-03-02 2008-09-18 Nissan Chem Ind Ltd 多核フェノールを含むレジスト下層膜形成組成物
WO2009016848A1 (ja) * 2007-08-02 2009-02-05 Nippon Soda Co., Ltd. シルセスキオキサンを含有する組成物及びシルセスキオキサン含有ヒドロキシアルキルセルロース樹脂組成物
US8304501B2 (en) 2007-08-02 2012-11-06 Nippon Soda Co., Ltd. Composition containing silsesquioxane and silsesquioxane-containing hydroxyalkyl cellulose resin composition
US8283103B2 (en) 2007-08-27 2012-10-09 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film for lithography and production method of semiconductor device
WO2009101753A1 (ja) * 2008-02-14 2009-08-20 Lintec Corporation ポリオルガノシロキサン化合物からなる成形材料、封止材及び光素子封止体
US8507044B2 (en) 2008-02-14 2013-08-13 Lintec Corporation Molding material composed of polyorganosiloxane compound, sealing material, and sealed optical device
JP2010085878A (ja) * 2008-10-02 2010-04-15 Tokyo Ohka Kogyo Co Ltd レジスト下層膜形成用組成物
US8349533B2 (en) 2008-11-07 2013-01-08 Shin-Etsu Chemical Co., Ltd. Resist lower-layer composition containing thermal acid generator, resist lower layer film-formed substrate, and patterning process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8101341B2 (en) 2009-01-15 2012-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US8192921B2 (en) 2009-01-15 2012-06-05 Shin-Etsu Chemical Co., Ltd. Patterning process
US8216774B2 (en) 2009-02-12 2012-07-10 Shin-Etsu Chemical Co., Ltd. Patterning process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8795955B2 (en) 2010-06-21 2014-08-05 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process
US8846846B2 (en) 2010-09-10 2014-09-30 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
US9045587B2 (en) 2010-09-10 2015-06-02 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
EP2447775A1 (en) 2010-11-01 2012-05-02 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8877422B2 (en) 2010-11-01 2014-11-04 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8323536B2 (en) 2010-11-12 2012-12-04 Shin-Etsu Chemical Co., Ltd. Near-infrared absorbing dye, near-infrared absorptive film-forming composition, and near-infrared absorptive film
US8592956B2 (en) 2010-12-01 2013-11-26 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
EP2461214A1 (en) 2010-12-01 2012-06-06 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8663898B2 (en) 2011-01-05 2014-03-04 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
EP2474861A1 (en) 2011-01-05 2012-07-11 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8853031B2 (en) 2011-01-14 2014-10-07 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
EP2476713A1 (en) 2011-01-14 2012-07-18 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US8835697B2 (en) 2011-03-28 2014-09-16 Shin-Etsu Chemical Co., Ltd. Biphenyl derivative, resist bottom layer material, bottom layer forming method, and patterning process
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8722307B2 (en) 2011-05-27 2014-05-13 International Business Machines Corporation Near-infrared absorptive layer-forming composition and multilayer film comprising near-infrared absorptive layer
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9146468B2 (en) 2011-10-11 2015-09-29 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9046764B2 (en) 2012-01-04 2015-06-02 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition, method for producing polymer for resist underlayer film, and patterning process using the resist underlayer film composition
KR102072499B1 (ko) 2012-02-09 2020-02-03 닛산 가가쿠 가부시키가이샤 막형성 조성물 및 이온주입방법
KR20190039354A (ko) * 2012-02-09 2019-04-10 닛산 가가쿠 가부시키가이샤 막형성 조성물 및 이온주입방법
JP2016128925A (ja) * 2012-02-09 2016-07-14 日産化学工業株式会社 レジスト下層膜形成組成物
US9250526B2 (en) 2012-03-07 2016-02-02 Jsr Corporation Composition for forming resist underlayer film, and pattern-forming method
KR20130102494A (ko) 2012-03-07 2013-09-17 제이에스알 가부시끼가이샤 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
KR20130111396A (ko) 2012-03-29 2013-10-10 제이에스알 가부시끼가이샤 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
US9268229B2 (en) 2012-03-29 2016-02-23 Jsr Corporation Composition for forming resist underlayer film, and pattern-forming method
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
KR20150081269A (ko) 2012-10-31 2015-07-13 닛산 가가쿠 고교 가부시키 가이샤 에스테르기를 갖는 실리콘함유 레지스트 하층막 형성조성물
US10372039B2 (en) 2012-10-31 2019-08-06 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicon having ester group
WO2014069329A1 (ja) 2012-10-31 2014-05-08 日産化学工業株式会社 エステル基を有するシリコン含有レジスト下層膜形成組成物
US9290623B2 (en) 2012-12-19 2016-03-22 Nissan Chemical Industries, Ltd. Composition for forming silicon-containing resist underlayer film having cyclic diester group
KR20150097550A (ko) 2012-12-19 2015-08-26 닛산 가가쿠 고교 가부시키 가이샤 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
JP2014157242A (ja) * 2013-02-15 2014-08-28 Shin Etsu Chem Co Ltd レジスト下層膜形成用組成物及びパターン形成方法
US9315670B2 (en) 2013-02-15 2016-04-19 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP2816409A1 (en) 2013-05-08 2014-12-24 Shin-Etsu Chemical Co., Ltd. Method for forming a resist under layer film and patterning process
US9230827B2 (en) 2013-05-08 2016-01-05 Shin-Etsu Chemical Co., Ltd. Method for forming a resist under layer film and patterning process
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015200796A (ja) * 2014-04-08 2015-11-12 メルクパフォーマンスマテリアルズマニュファクチャリング合同会社 下層膜形成用組成物
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9857686B2 (en) 2015-07-13 2018-01-02 Shin-Etsu Chemical Co., Ltd. Composition for forming resist underlayer film and patterning process
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JPWO2017141612A1 (ja) * 2016-02-15 2018-12-13 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
WO2017141612A1 (ja) * 2016-02-15 2017-08-24 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
JP6997373B2 (ja) 2016-02-15 2022-01-17 Jsr株式会社 レジスト下層膜形成用組成物、レジスト下層膜及びパターニングされた基板の製造方法
US11320739B2 (en) 2016-02-15 2022-05-03 Jsr Corporation Composition for resist underlayer film formation, resist underlayer film and method for producing patterned substrate
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US9984891B2 (en) 2016-04-21 2018-05-29 Shin-Etsu Chemical Co., Ltd. Method for forming organic film and method for manufacturing substrate for semiconductor apparatus
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
WO2018079599A1 (ja) * 2016-10-27 2018-05-03 日産化学工業株式会社 ジヒドロキシ基を有する有機基を含むシリコン含有レジスト下層膜形成組成物
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN109891321A (zh) * 2016-10-27 2019-06-14 日产化学株式会社 包含具有二羟基的有机基的含有硅的抗蚀剂下层膜形成用组合物
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR20190135026A (ko) 2017-03-31 2019-12-05 닛산 가가쿠 가부시키가이샤 카르보닐구조를 갖는 실리콘함유 레지스트 하층막 형성 조성물
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR20200020700A (ko) 2017-06-16 2020-02-26 제이에스알 가부시끼가이샤 패턴 형성 방법 및 euv 리소그래피용 규소 함유막 형성 조성물
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
KR20200035012A (ko) 2017-07-24 2020-04-01 제이에스알 가부시끼가이샤 극단 자외선 또는 전자선 리소그래피용 금속 함유막 형성 조성물, 극단 자외선 또는 전자선 리소그래피용 금속 함유막 및 패턴 형성 방법
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR20200053495A (ko) 2017-09-19 2020-05-18 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 기판의 처리 방법
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3508918A1 (en) 2017-12-26 2019-07-10 Shin-Etsu Chemical Co., Ltd. Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process
US11018015B2 (en) 2017-12-26 2021-05-25 Shin-Etsu Chemical Co., Ltd. Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process
KR20200106499A (ko) 2018-01-09 2020-09-14 제이에스알 가부시끼가이샤 패터닝된 기판의 제조 방법
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3623867A1 (en) 2018-09-13 2020-03-18 Shin-Etsu Chemical Co., Ltd. Patterning process
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12055863B2 (en) 2020-07-17 2024-08-06 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
US7163778B2 (en) 2007-01-16
TW200508792A (en) 2005-03-01
KR20040084701A (ko) 2004-10-06
KR101001399B1 (ko) 2010-12-14
US20040191479A1 (en) 2004-09-30
TWI273347B (en) 2007-02-11
JP4369203B2 (ja) 2009-11-18

Similar Documents

Publication Publication Date Title
JP4369203B2 (ja) 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP4638380B2 (ja) 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP4430986B2 (ja) 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
KR100857967B1 (ko) 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
JP3981825B2 (ja) パターン形成方法及び下層膜形成材料
JP4700929B2 (ja) 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
JP4388429B2 (ja) レジスト下層膜材料ならびにパターン形成方法
JP4553113B2 (ja) 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
US7303855B2 (en) Photoresist undercoat-forming material and patterning process
JP4515987B2 (ja) 反射防止膜材料、及びパターン形成方法
US7303785B2 (en) Antireflective film material, and antireflective film and pattern formation method using the same
US7632624B2 (en) Photoresist undercoat-forming material and patterning process
JP4575214B2 (ja) レジスト下層膜材料およびパターン形成方法
JP4013057B2 (ja) パターン形成方法及び下層膜形成材料
KR101134190B1 (ko) 에칭 마스크용 규소 함유막 형성용 조성물, 에칭 마스크용규소 함유막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법
JP4013058B2 (ja) パターン形成方法及び下層膜形成材料
KR101225417B1 (ko) 규소 함유 반사 방지막 형성용 조성물, 규소 함유 반사방지막, 및 이를 이용한 기판 가공 중간체 및 피가공기판의 가공 방법
JP4818582B2 (ja) 高分子化合物、反射防止膜材料及びパターン形成方法
JP2004354554A (ja) レジスト下層膜材料ならびにパターン形成方法
JP4220361B2 (ja) フォトレジスト下層膜形成材料およびパターン形成方法
JP4780323B2 (ja) エッチングマスク用ケイ素含有膜形成用組成物、エッチングマスク用ケイ素含有膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法
JP4563927B2 (ja) 基板及びその製造方法、並びにそれを用いたパターン形成方法
JP4563949B2 (ja) マスクパターン被覆材料
JP4780324B2 (ja) ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜、及び、これを用いた基板加工中間体及び被加工基板の加工方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090330

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090811

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090827

R150 Certificate of patent or registration of utility model

Ref document number: 4369203

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120904

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150904

Year of fee payment: 6

EXPY Cancellation because of completion of term