JP2002198538A - 半導体側壁フィンを製造する方法 - Google Patents

半導体側壁フィンを製造する方法

Info

Publication number
JP2002198538A
JP2002198538A JP2001319845A JP2001319845A JP2002198538A JP 2002198538 A JP2002198538 A JP 2002198538A JP 2001319845 A JP2001319845 A JP 2001319845A JP 2001319845 A JP2001319845 A JP 2001319845A JP 2002198538 A JP2002198538 A JP 2002198538A
Authority
JP
Japan
Prior art keywords
gate
channel
forming
fet
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001319845A
Other languages
English (en)
Other versions
JP4071951B2 (ja
Inventor
James W Adkisson
ジェームズ・ダブリュ・アドキソン
Paul D Agnello
ポール・ディー・アニェッロ
W Ballantine Arne
アーン・ダブリュ・バランタイン
Rama Divakaruni
ラマ・ジバカルニ
Erin C Jones
エリン・シー・ジョーンズ
Edward J Nowak
エドワード・ジェー・ノバク
Jed H Rankin
ジェド・エイチ・ランキン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2002198538A publication Critical patent/JP2002198538A/ja
Application granted granted Critical
Publication of JP4071951B2 publication Critical patent/JP4071951B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

(57)【要約】 【課題】 比較的薄いエピタキシャル成長チャネルを有
するデュアル・ゲート・トランジスタを提供する。 【解決手段】 エピタキシャル成長チャネルを形成し、
その後にダマシン・ゲートを形成することによって二重
ゲートのシリコン・オン・インシュレータ(SOI)M
OSFETを作成する。この二重ゲートMOSFETは
狭いチャネルを特徴とし、これがレイアウト幅当たりの
電流ドライブを増加し、低いアウト・コンダクタンスを
提供する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的にデュアル
・ゲート金属酸化物半導体電界効果トランジスタ(MO
SFET)の提供に関し、さらに詳しくは、比較的薄い
エピタキシャル成長チャネルを有するデュアル・ゲート
MOSFETの提供に関する。
【0002】
【従来の技術】電界効果トランジスタ(FET)の構造
は単一ゲート(単一チャネル)または1対のゲートを含
むことができるが、二重ゲート版には、チャネルを短く
でき、したがってより高速のデバイスを生産できる利点
がある。ゲート長が50nm未満に縮小するので、FE
Tのスケールはゲート制御の有限深さによって制限され
るようになる。研究から、FETチャネルの複数の側面
にゲートを配置すると、短チャネル特性およびオフ電流
特性に関してFETの性能が改善されることが示され
た。シリコンが完全に空乏化するだけの充分に薄いこと
を前提として、FETチャネルの複数の側面にゲートを
配置すると、電界および電荷は、電界が事実上無限のシ
リコン基板内に自由に深く浸透する標準的なFETより
もきわめて密に閉じ込められる。完全に空乏化したデュ
アル・ゲート構造で可能なこの閉じ込めによって短チャ
ネル効果の改善が可能になり、20〜30nmのゲート
長を有するデバイスが可能である。反転で生じたチャネ
ルがシリコンの両側および場合によってはチャネル全体
にわたって形成され、これによって飽和電流を増加する
ことができる。他の報告されている利点は、ほぼ理想的
なサブスレショルド勾配、飽和電流の増加、および短チ
ャネル効果ならびにフローティング・ボディ効果の軽減
を含む。要件は一般に、5〜50nmの範囲の薄い拡散
領域および20〜100nmまでのゲート長であり、ゲ
ート長は拡散長の2から4倍であることが好ましい。
【0003】多数の水平型二重ゲートFET構造、特に
SOI二重ゲートFET構造が提案されてきた。これら
の構造は一般に、従来の上部ゲートに加えて、薄いシリ
コン本体の下に形成された下部ゲートを必要とする。こ
のような構造の製作は、上部ゲートと下部ゲートを最新
技術のリソグラフィ装置および方法の精度を超える許容
範囲で位置合わせしなければならず、また自己位置合わ
せ技術は上部ゲートと下部ゲートとの間の層によって妨
げられるため、困難である。
【0004】Hon Sum Philipらによる「Self-Aligned
(Top and Bottom) Double-Gate MOSFET With a 25 nm T
hick Silicon Channel」(IEDM 97-427, IEEE 1997年)
では、二重ゲートMOSFETは、ゲート長を極限の2
0〜30nmまで縮小した相補型金属酸化物半導体(C
OMS)の最も有望な候補とみなされている。厳格なモ
ンテ・カルロ・デバイス・シミュレーションおよび解析
計算では、シリコン・チャネルの厚さを10〜25nm
に削減することができ、かつゲート酸化物の厚さが2〜
3nmに削減されることを前提として、ゲート長20〜
30nmまでデバイス性能が引き続き改善されることが
予測された。しかし、位置合わせ不良は過剰なゲート・
ソース/ドレイン・オーバラップ容量のみならず電流駆
動の損失をも引き起こすので、上部と下部の位置合わせ
は非常に重要である。
【0005】以下の特許はFETに関係し、特に二重ゲ
ートFETに関係するものである。
【0006】米国特許第5,780,327号は、バル
クまたはSOI基板上にスタック状に配置されたエピタ
キシャル・チャネル層およびドレイン層を含む垂直二重
ゲート電界効果トランジスタを記載している。ゲート酸
化物は、入力容量の問題を最小にするために微分酸化速
度を用いてスタックの側面に熱成長させる。ゲートはス
タックの一端の周りを取り巻き、第2端には接点が形成
される。スタックの第2端に埋め込まれたエッチ・スト
ップ層は、接点をチャネル層に直接形成することを可能
にする。
【0007】米国特許第5,773,331号は、側壁
ドレイン接点を有する単一ゲートおよび二重ゲート電界
効果トランジスタの作成方法を記載している。FETの
チャネルは下にある支持構造に対して隆起し、ソースお
よびドレイン領域はチャネルの一体部分を形成する。
【0008】米国特許第5,757,038号は、自己
位置合わせプロセスによって形成された実質的に均一な
幅の超薄チャネルを有する自己位置合わせデュアル・ゲ
ートFETを対象としている。異なる材料間で選択的エ
ッチングまたは制御酸化を利用して、2.5nmから1
00nmまでの範囲の厚さを有し、ソース領域とドレイ
ン領域の間に伸びる垂直チャネルを形成する。
【0009】米国特許第5,580,802号は、上部
ゲートによって包囲されたソース、チャネル、およびド
レインを含むSOIゲート・オール・アラウンド(GA
A)MOSFETを記載している。上部ゲートは、他の
埋め込み構造にも用途を有し、SOIウェハのソース、
チャネル、およびドレイン半導体層上に形成される下部
ゲート誘電体上に形成される。
【0010】米国特許第5,308,999号は、SO
I層のチャネル領域の上部表面および2つの側部表面上
にゲート電極を形成することにより、またゲート電極が
完全に接続しないようにして、ゲート電極を部分的にチ
ャネル領域の底部より下で内側に向かって伸ばすことに
より、SOI構造を有するMIS(金属絶縁体半導体)
FETの破壊電圧が改善される、薄膜SOI構造を有す
るMOSFETを記載している。
【0011】米国特許第5,689,127号は、バル
クまたはSOI基板上にスタック状に配置されたソース
層、エピタキシャル・チャネル層、およびドレイン層を
含む垂直二重ゲートFETを記載している。ゲート酸化
物は、入力容量の問題を最小にするために微分酸化速度
を用いてスタックの側面に熱成長させる。ゲートはスタ
ックの一端の周りを取り巻き、第2端には接点が形成さ
れる。スタックの第2端に埋め込まれたエッチ・ストッ
プ層は、接点をチャネル層に直接形成することを可能に
する。
【0012】リソグラフィによって画定するゲートは非
常に単純であるが、多数の欠点を免れない。第一に、ゲ
ートの画定で拡散の側部にポリのスペーサが残る可能性
や、拡散の側部で必要な勾配が決まる可能性があるた
め、結果的により劣等な品質または制御されにくいデバ
イスあるいはその両方が生じる。第二に、ポリの勾配は
本質的に珪化ゲートの形成を困難にし、低速なデバイス
性能に至る。最後に、50nmデザイン・ルールの技術
では100nm〜200nm程度の段差が予想されるの
で、ポリの段差の高さは、リソグラフィによる画定にと
って困難な問題をもたらす。
【0013】二重ゲートFETの製造における重大な難
点は、薄い拡散の珪化または許容できる接触抵抗のポリ
シリコンを達成すること、2つのゲートの位置合わせ不
良を生じることなくラップアラウンド・ゲートの製作を
可能にすること、および狭い拡散(理想的にはゲート長
の2〜4分の1)の製作である。
【0014】デュアル・ゲート・トランジスタを生成す
るための追加的技術は、高い段差高のゲートをリソグラ
フィにより画定すること(米国特許第4,996,57
4号参照)、「エアブリッジ」シリコン構造を提供する
選択的エピタキシャル成長を形成すること(Hon-Sum Ph
ilip Wong, International Electron Devices Meeting
(IEDM) 1997年, pg.427参照)、および垂直キャリヤ輸
送を伴うラップアラウンド・ゲートを形成すること(H.
Takato IEDM, 1988年, pg.222参照)を含む。
【0015】要するに、先の製作法は、リソグラフィに
より画定するシリコン・チャネルおよび長く封じ込めら
れた横方向のエピタキシャル成長に依存してきた。しか
し、リソグラフィにより画定されるチャネルは、充分に
正確な許容範囲を持たせて形成することができず、上述
の方法では使用可能な許容範囲を適切に維持して、最適
に近いデュアル・ゲート・トランジスタ性能をサポート
することさえできない。さらに、横方向に画定されるF
ET幅で横方向電流を使用する技術は、たとえシリコン
の厚さを厳密に制御することができても、上部ゲートと
下部ゲートの位置合わせの難しさを免れない。
【0016】米国特許出願第09/526,857号
は、チャネル幅を充分に小さくすることができることを
仮定して、二重ゲート・トランジスタを作成する方法を
記載している。
【0017】
【発明が解決しようとする課題】したがって、本発明の
目的は、比較的薄いエピタキシャル成長チャネルを有す
るデュアル・ゲート・トランジスタを提供することであ
る。
【0018】
【課題を解決するための手段】本発明により、基板上に
シリコン層を形成するステップを備えた、電界効果トラ
ンジスタ(FET)を形成する方法を提供する。次に、
シリコン層の側部表面にエピタキシャル・チャネルを形
成し、したがってチャネルの一方の側壁は露出してい
る。次いでシリコン層を除去し、それによりエピタキシ
ャル・チャネルの第2側壁を露出させる。次いでソース
領域およびドレイン領域を形成し、エピタキシャル・チ
ャネルの端に結合する。最後に、エピタキシャル・チャ
ネル上にゲートを形成する。
【0019】このようにして本発明は、非常に薄いチャ
ネルを形成するためにエピタキシャル領域を成長するた
めの既知の技術を使用して非常に薄い拡散領域を提供し
ようとするものであり、選択的エッチングによって維持
することができ、またエピタキシャル成長が薄い制限層
の存在によって複雑化されないような、リソグラフィに
より画定されるチャネルよりも非常に厳しい許容範囲が
チャネル厚に対して得られるという利点を持つ。
【0020】本発明の上記その他の目的、態様および利
点は図面を参照しながら本発明の好ましい実施形態につ
いての以下の詳しい説明を読めば、よりよく理解できよ
う。
【0021】
【発明の実施の形態】今、図1を参照すると、開始用の
シリコン・オン・インシュレータ(SOI)基板100
の平面図が示されている。図1に示した切断部1−1お
よび2−2にそれぞれ対応する図2および図3に示すよ
うに、基板100はバルク基板106、埋込み酸化物
(BOX)108層、および活性層110から成る。図
2および図3はまた、活性層110上の酸化物パッド膜
102および窒化物パッド膜104をも示す。窒化物パ
ッド膜104の上に酸化物パッド膜102を配置させる
ことが好ましいことを、当業者は認識するであろう。パ
ッド酸化物102は標準的な酸化技術を用いて成長し、
一般的に3から14nmまでの範囲内にあるが8nmが
好ましい。パッド膜104はパッド酸化物膜102の上
に配置される。窒化物の膜をパッド膜104として利用
することが好ましいが、他の材料を使用することもでき
る。窒化物(上)パッド膜104は一般的に30から1
20nmまでの範囲内であり、80nmが好ましく、浅
いトレンチ分離(STI)の形成用のエッチ領域を画定
する。
【0022】活性デバイス層110をパターン形成し
て、シリコン・チャネルが形成されるエッジ112を形
成する。チャネル領域になるところを形成するために使
用される活性層110の幅113は、マスキングのため
には充分に広く、かつオーバエッチングを制限するため
には充分に狭く、それによって適切で実用的な製造許容
範囲を提供しなければならないことを除いては、重要で
はない。この段階で、当業者に知られている従来の処理
技術に従って、ソース114およびドレイン116領域
ならびに接点領域118、120になるシリコン領域を
形成することが好ましい。
【0023】図4、図5、および図6は、エッチ・スト
ップ202のエピタキシャル成長およびその後のチャネ
ル204のエピタキシャル成長の後の図1、図2、およ
び図3にそれぞれ対応する。エッチ・ストップはSi
(0.3)Ge(0.7)で構成し、エピタキシャル成
長チャネルはシリコンまたはゲルマニウムおよび/また
は炭素とシリコンの合金から構成することが好ましい。
シリコンと他のIV族元素(特にゲルマニウムおよび炭
素)との合金は、チャネルにひずみを加えるか、または
チャネル中にわたり伝導帯および価電子帯を変化させる
か、あるいはその両方によってデバイスのスレショルド
を変更したり、あるいはキャリヤ輸送を改善することに
よって、FET性能を最適化するために使用することが
できる。エッチ・ストップ202およびチャネル204
の形成前に適切なクリーニング・プロセスを使用して、
酸化物パッド膜104の下のシリコン110の部分を除
去することは、当業者には明白であろう。除去されるシ
リコンの幅は、エッチ・ストップ202およびチャネル
204の組み合せ幅にほぼ等しくする必要がある。
【0024】ファセッティングが過剰である場合、エッ
チ・ストップ202およびチャネル204の非選択的エ
ピタキシャル堆積が必要になるかもしれないが、選択的
堆積が好ましい。層202の厚さは約5nmであること
が好ましい。ファセッティングは、エピタキシャル成長
の詳細に大きく依存する。特に選択的エピタキシャル成
長の場合、ファセッティングは、開口のエッジに近づく
につれて、エピタキシャル領域の厚さを変化させるかも
しれない。チャネルは成長の高さに比較して非常に薄く
突出するので、チャネルが影響を受ける領域は小さくな
ると思われる。転位が形成される前の許容厚さはGe断
片に対して敏感であり、Ge断片の増加と共に急速に低
下する(A. Fischer and H. Kuhne, "Critical Dose fo
r Strained Layer Configurations", Phys. Stat. Sol
(a), 155, 141, 1996年参照)。次いで、チャネル20
4を好ましくは5〜50nmの範囲でエピタキシャル成
長させる。
【0025】チャネル204の底部と頂部は欠陥がある
可能性がある。特にエピタキシャル層が薄い場合、この
領域は極めて小さくなると思われ、デバイスの形成に対
しては重要ではないかもしれない。しかし、これらの領
域を除去する必要がある場合は、デバイス幅制御の劣化
が小さくしかし許容できる程度で実行に利用できる2つ
のプロセスがある。具体的には、エピタキシャル領域の
頂部をむき出しにするためにより低くエッチングする以
外は、図8のスペーサ302のそれと同様にスペーサを
堆積することができる。このスペーサを形成した後、図
22の左側に示すようにスペーサの下の埋込み酸化物を
エッチングする。別法として薄い複合スペーサを使用す
ることもできる。この場合、スペーサの底部は等方的に
エッチングして、頂部および底部領域をむき出しにす
る。スペーサ(オーバエッチ)の高さは、図22の右側
に示すように、スペーサの底部のエピタキシャル領域に
達するために必要なアンダカットによって決定される。
欠陥領域をエッチングした後、次の処理ステップに進む
前に、スペーサは選択的にエピタキシャル領域および埋
込み酸化物層まで除去される。図10に示したスペーサ
を除去した後で、上述の手順を実行し、さらなる処理の
前に上述した手順のスペーサを除去することもできるこ
とに留意されたい。
【0026】図7および図8は、以下で述べる追加加工
ステップ後の図4および図6にそれぞれ対応する。図8
に示すように、チャネル204を攻撃から守るために、
当業者に広く周知の適切な技術および材料を使用して、
スペーサ302が形成される。
【0027】次いで、図7に示すマスク開口304がマ
スク開口304内でシリコン層110の露出部分および
エッチ・ストップ202の除去を可能にするように、マ
スクが適用され配置される。マスク304はチャネル2
04にできるだけ近づけて位置を合わせることが好まし
い。マスク開口304内の露出したシリコン110は、
次に異方性エッチングを用いてエッチングされる。
【0028】次いで、マスク開口304内の露出シリコ
ン110がエッチングされる。このエッチング中に全て
のシリコン110が除去されるわけではないので、シリ
コン層110は側方にもエッチングされ、エッチ・スト
ップ202で停止する(K.D.Hobart, F.J. Kub, M.E. T
wigg, G.G. Jernigan, P.E. Thompson, "Ultra-Cut:A S
imple Technique for the Fabrication of SOI Substra
tes with Ultra-thin (<5nm) Silicon Films"< Proc. I
EEE International Silicon on Insulator (SOI) Confe
rence, p 145-146, Oct. 1988年参照)。Si:Si
(O.3)Ge(0.7)に対して約20:1の選択比
を持つKOHをエッチング液として利用することができ
るが、NH4OHは、25%Ge膜に対して100:1
より優れた選択比を持つことが報告されている(G. Wan
g et al., "Highly Selective Chemical Etching of Si
vs Si (1-x)G(x) Using NH4OH solution, J. Electroc
hem. Soc., Vol. 144(3), Mar 1997年, L37 参照)。
【0029】したがって、約70nmのオーバレイおよ
び約20nmのエッジ許容範囲の場合、要求される予想
厚さは約85nmである。20%のオーバエッチングを
仮定すると、100nmのエッチングが必要になる。最
悪の場合のSiGeの攻撃は、KOHをエッチング液と
して利用した場合で、約5nmとなり、KH4OHをエ
ッチング液として利用した場合で、約1nmとなる。
【0030】次に、エッチ・ストップ202を選択的に
チャネル204までエッチングする。HF:H22:C
3COOHの選択比は、70%Ge膜に対しては約1
000:1である。したがって、10nmのエッチング
を仮定すると、Siの攻撃は無視できる。HNO3:H2
O:HF(40:20:5)の選択比は、50%Ge膜
に対して約25:1の選択比である。効果的なHFの希
釈は、約12:1である。酸化物の攻撃は大きいが、当
業者に広く周知の従来の加工ステップに従って制御する
ことができる。HNO3:H2O:HFのエッチ速度は約
40nm/分であり、非常に短い露出を示唆し、おそら
く制御のためにさらに希釈することができる(D.J. God
bey et al., "Selective Removal of Si(1-x)Ge(x) fro
m <100>Si using HNO3 and HF, J. Electrochem. Soc.,
139(10), 2943, 1992年参照)。必要ならば、スペーサ
302は、当業者に広く周知の従来の加工ステップに従
って除去することができる。
【0031】図9および図10は、活性層10およびエ
ッチ・ストップ202のエッチング後の図7および図8
にそれぞれ対応する。必要ならば、当業者に広く周知の
従来の加工技術に従って、トリム・マスクを適用して、
望ましくないフィン402を除去することができる。図
11は、当業者には容易に認識されるであろうが、第1
チャネル204について前述したのと同じ処理ステップ
を使用することによって形成できる第2チャネル502
の形成後の図9のデバイスを示す。
【0032】第1チャネル領域204および第2チャネ
ル領域502を形成したところで、デュアル・ゲート・
トランジスタを完成するために必要な最終処理ステップ
の第1シーケンスを下記で述べる。
【0033】今、図12を参照すると、図11のチャネ
ル204および502が、別のゲート構造を形成するた
めに使用できる追加チャネル602と共に示されてい
る。したがって、基板100が、示されたチャネル20
4、502、および602以外に多数のチャネルを備え
ることができることを、当業者なら理解するはずであ
る。ここでは、このようにして基板100がバルク基板
102、BOX層104、およびチャネル204、50
2、および602を備えている。
【0034】次いで、図13では標準的なSTI充填物
702が設けられ、これは好ましくは厚さ約300から
500nmの二酸化シリコン層である。しかし、当業者
には周知の他の適切な材料を犠牲膜として使用すること
もできる。STI表面は研磨によって平坦化することが
好ましい。
【0035】図14は、図21の切断部1−1の代表的
断面図である。図14における製作中にはポリシリコン
導体(PC)レジスト802およびSTI充填物702
が存在するが、図21の対応する領域141には存在し
ないので、図14が代表図である。STI充填物702
の選択領域にPCレジスト・マスク802を配置した
後、STI充填物702はパッド膜104に対して相対
的に、およびBOX層108まで、選択的にエッチング
される。エッチングはBOX層108に対しても相対的
に選択的であることが好ましいが、必須ではない。パッ
ド膜104は次にSTI充填層702およびBOX層1
04まで選択的に除去される。図16および図18は、
望むなら、薄いゲート誘電体904をチャネル204、
502、および602の側壁のみに置くために、パッド
層104を残すことができることを示す。各エッチング
で約10:1の選択比があることが好ましく、それは既
知のエッチング技術で達成することができる。望むな
ら、この時点でウェルの注入を任意選択的に導入するこ
とができる。これらの注入は、拡散の側壁に充分ドープ
するため、各注入を相互に約90度回転して、好ましく
は10から45度の範囲で大きく傾斜させた注入を用い
て行うことができる。拡散の表面層を側面より高濃度で
ドーピングするのを防止するためには、PCレジスト8
02の露出領域のパッド膜104を除去する前に注入を
行うことができる。
【0036】図15は、図21に示した切断部2−2の
代表的断面図である。図15における製作中にはPCレ
ジスト・マスク820およびSTI充填物702が存在
するが、図21のソース114、ドレイン116、およ
びゲート902の間の領域には示されていないので、図
15が代表図である。したがって図15は、製作中のP
Cマスク802の選択的配置を示す。これは、好ましく
はフォトレジストまたはハードマスクから成るPCマス
クを使用する標準的パターン・リソグラフィ技術を用い
て達成することができる。
【0037】図16は、ゲート誘電体の成長904(例
えばSiO2)およびゲート導体902の堆積後の図1
4の基板を示す。窒化酸化物、窒化物/酸化物複合材、
金属酸化物(例えばAl23、ZrSiO4、TiO2
Ta25、ZrO2等)、灰チタン石(例えば(Ba、
Sr)TiO3、La23)、および上記の組み合わせ
を誘電体として使用することができることを理解された
い。各チャネル204、502および602上のゲート
誘電体の成長は、従来の方法による標準炉または枚葉式
ウェハ・チャンバ酸化とすることができる。望むなら、
酸化の前か、途中か、あるいはその後に窒化種(たとえ
ば、N2O、NOまたはN2の注入)を導入することがで
きる。各チャネル204、502、および602上のゲ
ート誘電体の堆積は、例えば化学気相成長(CVD)ま
たは当業者に知られている他の技術によって達成するこ
とができる。
【0038】エッチング後、ゲート902を堆積する。
ゲート導体の堆積は、従来のCVDまたは方向性スパッ
タリング技術を用いて達成することができる。ポリシリ
コン以外のゲート導体をも使用できることを理解された
い。例えば、SiGe混合物、耐火金属(例えばW)、
金属(例えばIr、Al、Ru、Pt)、およびTiN
を使用することができる。一般に、研磨が可能でかつ高
い導電性および適度な仕事関数を持つ材料であればどん
な材料でも、ポリシリコンの代わりに使用することがで
きる。堆積後、ゲート902は従来の技術に従って研磨
する。
【0039】図17は、PCレジスト・マスク802を
除去した後の図15を示す。STI表面904は従来の
技術に従ってクリーニングする。
【0040】図18および図19は、STI充填物70
2を除去した後の図16のMOSFETデバイスを形成
するための拡長注入を示す。注入は、ウェハ表面に垂直
なベクトルに対して大きい角度で、好ましくは7から4
5度の範囲で行う。拡散の側壁に均等に充分ドープする
ためには、ウェハ表面を中心にして相互に約90度回転
しながら4回の注入を行う。拡散の表面に強くドープし
すぎるのを防止するために、拡散の上でパッド酸化物層
102を利用することができる。この場合、注入後に、
しかし最終注入が行われる前に、パッド膜104を除去
し、最終注入の後にスペーサ146の堆積を行う。
【0041】図20は、従来のステップに従って珪化物
層1102を形成した後の図18のデバイスを示す。ま
た、ゲート902を形成した後、従来のステップに従っ
てスペーサ1104も形成して、拡散をアニールし、1
層の非常に共形的な誘電体充填1106を堆積し、次い
でそれをゲート導体の頂部まで研磨する。誘電体充填物
1106は窒化物層とそれに続くドープガラスとするこ
とが好ましい。高いアスペクト比のため、充填の特性か
ら高速熱CVD、または高密度プラズマ・エンハンスト
CVD技法を用いた自己スパッタリング堆積を示唆され
る。一般的に誘電体ガラスはリンおよび/または硼素を
含むが、ドープしないこともできる。
【0042】図21は完成したデバイスの平面図を示
す。ソース114およびドレイン116領域は注入によ
って形成する。接点1106、1108、1110を追
加し、従来のステップに従ってラインのバック・エンド
(BEOL)加工を行う。
【0043】再び図14に関連して、第2シーケンス
は、パッド酸化物102およびパッド窒化物104の膜
を除去するステップを備える。必要ならば、使い捨てス
ペーサを形成し、欠陥がある場合、チャネル204、5
02および602の頂部をエッチングすることができ
る。図16に示すように、次いでゲート酸化物を成長さ
せ、好ましくは上述した同じ材料の中からゲート902
を堆積し、エッチングしてゲートを形成する。
【0044】以上本発明をその好ましい実施形態に関し
て述べたが、添付の特許請求の範囲のその精神および範
囲から逸脱することなく本発明を実践できることを、当
業者なら理解するであろう。
【0045】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0046】(1)基板を提供するステップと、前記基
板上に側部表面を有する層を形成するステップと、前記
側部表面上に第1側壁を有するエピタキシャル・チャネ
ルを形成するステップと、前記チャネルの第2側壁を露
出させるために前記層を除去するステップと、前記第1
チャネルの端に結合されたソースおよびドレイン領域を
形成するステップと、前記チャネルの側壁の少なくとも
一方に隣接してゲートを形成するステップを含む電界効
果トランジスタ(FET)を形成する方法。 (2)基板と、前記基板におけるソース領域およびドレ
イン領域であって、前記ソース領域および前記ドレイン
領域の各々が頂部、底部、および少なくとも2つの側部
拡散表面を有し、前記拡散表面の対応する1つと実質的
に共面の頂部、底部および側部チャネル表面を有するエ
ピタキシャル成長チャネル領域によって分離されたソー
ス領域およびドレイン領域と、前記頂部および側部チャ
ネル表面に隣接し、前記頂部および側部チャネル表面か
ら電気的に絶縁されたゲートと、FETを制御するため
のゲート制御電圧を受け取るための接点を有する平坦な
頂部表面を備えた前記ゲートとを備えた電界効果トラン
ジスタ(FET)。 (3)前記ソースおよびドレインがFETを制御するた
めの制御電圧を受け取るための接点を有する上記(2)
に記載のFET。 (4)前記ゲートが実質的に前記ソース領域と前記ドレ
イン領域との間の中央に位置し、かつそれらと実質的に
平行である上記(2)に記載のFET。 (5)前記ゲートの頂部表面と接触する珪化物層をさら
に備えた上記(2)に記載のFET。 (6)前記ゲートの第1側端および第2側端と接触する
誘電体層をさらに備えた上記(2)に記載のFET。 (7)前記チャネルの側部表面と接触する誘電体をさら
に備えた上記(2)に記載のFET。 (8)前記ゲートがポリシリコンから構成される上記
(2)に記載のFET。 (9)前記チャネルがFETの長さの約4分の1である
上記(2)に記載のFET。 (10)各々が実質的に共面の頂部表面を有し、前記そ
れぞれ実質的に共面の頂部表面上に接点パッドを有する
電気的に分離された2つの部分に、前記ゲートを電気的
に分離するための前記ゲート内の誘電体材料をさらに含
む上記(2)に記載のFET。 (11)前記エピタキシャル・チャネルがIV族元素の
組み合わせから形成される上記(2)に記載のFET。 (12)前記エピタキシャル・チャネルがシリコンとI
V族元素の合金から形成される上記(2)に記載のFE
T。 (13)前記エピタキシャル・チャネルがシリコンと、
ゲルマニウムおよび炭素の少なくとも1つとの合金から
形成される上記(2)に記載のFET。 (14)基板上に第1および第2エピタキシャル成長チ
ャネルを形成するステップと、ソースおよびドレインの
側部表面が前記第1および第2エピタキシャル成長チャ
ネルの相対する端部表面と接触するように、シリコン層
内の領域をエッチングしてソースおよびドレインを形成
するステップと、前記第1および第2エピタキシャル成
長チャネルの頂部表面および2つの側部表面、ならびに
基板の頂部表面に接触するゲートを形成するステップと
を含む二重ゲート電界効果トランジスタ(FET)を形
成する方法。 (15)前記形成ステップが、シリコン・ラインの各端
が前記ソースおよびドレインの端と接触するように、第
1および第2シリコン・ラインを形成するステップと、
前記第1および第2シリコン・ラインの各々の露出した
側部表面上でエッチ・ストップ層を形成するステップ
と、各エッチ・ストップ層上で第1および第2シリコン
層をエピタキシャル成長させるステップと、前記第1お
よび第2シリコン・ラインおよびエッチ・ストップ層を
エッチングで除去するステップと、前記第1および第2
エピタキシャル成長シリコン層の周囲および前記ソース
とドレインとの間の領域を酸化物充填材で充填するステ
ップと、前記酸化物充填材の一部分をエッチングして、
前記ソースと前記ドレインとの間の実質的に中央に位置
しかつそれらと実質的に平行であるようなゲートを画定
する領域を形成するステップと、材料を堆積してゲート
を形成するステップとを含む上記(14)に記載の方
法。 (16)前記ゲートと前記ソースとの間の酸化物充填材
をエッチングして、前記第1および第2エピタキシャル
成長シリコン層を露出させるステップと、前記ゲートと
前記ドレインとの間の酸化物充填材をエッチングして、
前記第1および第2エピタキシャル成長シリコン層を露
出させるステップとをさらに含む上記(15)に記載の
方法。 (17)前記第1および第2エピタキシャル成長シリコ
ン層の上に酸化物を形成するステップをさらに備えた上
記(16)に記載の方法。 (18)前記酸化物が二酸化シリコンである上記(1
7)に記載の方法。 (19)前記ゲートと前記ソースとの間の前記エピタキ
シャル成長シリコン層の一部分に注入するステップと、
前記ゲートと前記ドレインとの間の前記エピタキシャル
成長シリコン層の一部分に注入するステップとをさらに
含む上記(16)に記載の方法。 (20)前記注入ステップが、前記エピタキシャル成長
シリコン層の頂部表面に垂直なベクトルに対して10か
ら45度の範囲内にある上記(18)に記載の方法。 (21)前記注入が相互に対して約90度の角度で連続
して行われる上記(14)に記載の方法。 (22)前記ゲート、前記ソース、および前記ドレイン
の各々の上に接点を形成するステップをさらに含む上記
(14)に記載の方法。 (23)ゲート材料がポリシリコンである上記(14)
に記載の方法。
【図面の簡単な説明】
【図1】シリコン・ラインを示すデバイスの上面図であ
る。
【図2】図1に示す切断部1−1の断面図である。
【図3】図1に示す切断部2−2の断面図である。
【図4】エッチ停止層およびチャネル層のエピタキシャ
ル成長後の図1の基板を示す平面図である。
【図5】図4に示す切断部1−1の断面図である。
【図6】図4に示す切断部2−2の断面図である。
【図7】シリコン・ラインを除去するためのマスク開口
のある図4の図である。
【図8】図7に示す切断部2−2の断面図である。
【図9】シリコン・ラインおよびエッチ・ストップ層の
残りの部分の除去後の図7の図である。
【図10】図9に示す切断部2−2の断面図である。
【図11】第2チャネルの形成後の図9のデバイスの図
である。
【図12】図11に示す切断部2−2の代表的断面図で
ある。
【図13】浅いトレンチ分離(STI)の充填および研
磨後の図12の基板の図である。
【図14】ポリシリコン導体(PC)レジスト・マスク
を塗布しエッチングした後の図21に示す切断部2−2
の代表的断面図である。
【図15】PCレジスト・マスクを塗布した後の図21
に示した切断部2−2の代表的断面図である。
【図16】ゲート誘電体の成長または堆積およびゲート
導体の堆積後の図14の基板の図である。
【図17】PCレジスト・マスクの除去後の図15の基
板の図である。
【図18】図16の基板のSTIの除去および分離層の
注入を示す図である。
【図19】図17の基板の延長注入を示す図である。
【図20】接点前の図18の完成デバイスを示す図であ
る。
【図21】完成デバイスの上面図である。
【図22】過剰なファセッティングによる欠陥材料を除
去する技術を示す図である。
【符号の説明】
100 基板 102 酸化物パッド膜 104 窒化物パッド膜 106 バルク基板 108 埋込み酸化物層 110 活性層 114 ソース領域 116 ドレイン領域 118 接点領域 120 接点領域 202 エッチ・ストップ
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/161 H01L 29/78 618A (72)発明者 ポール・ディー・アニェッロ アメリカ合衆国12590 ニューヨーク州ワ ピンジャー・フォールズ ブレイ・ファー ム・レーン 17 (72)発明者 アーン・ダブリュ・バランタイン アメリカ合衆国12151 ニューヨーク州ラ ウンド・レイク コーベル・アベニュー 25 (72)発明者 ラマ・ジバカルニ アメリカ合衆国10589 ニューヨーク州ソ マーズ ヘリテージ・ヒルズ 131ビー (72)発明者 エリン・シー・ジョーンズ アメリカ合衆国10707 ニューヨーク州タ カホー ミッドランド・アベニュー 192 (72)発明者 エドワード・ジェー・ノバク アメリカ合衆国05452 バーモント州エセ ックス・ジャンクション ウィンドリッ ジ・ロード 8 (72)発明者 ジェド・エイチ・ランキン アメリカ合衆国05401 バーモント州バー リントン リバーサイド・アベニュー 220 アパートメント・ナンバー・シー8 Fターム(参考) 5F048 AA01 AC01 BA14 BA16 BB01 BB05 BB11 BC01 BC11 BD01 BD06 BD09 BG05 5F110 AA01 AA03 CC02 CC10 DD05 DD13 EE01 EE02 EE03 EE04 EE05 EE08 EE09 EE14 EE29 EE32 EE44 EE45 EE48 FF01 FF02 FF03 FF04 FF09 FF22 FF26 FF29 GG01 GG02 GG03 GG07 GG19 GG22 GG23 GG25 GG30 GG42 GG52 HJ13 NN62 NN65 QQ11

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】基板を提供するステップと、 前記基板上に側部表面を有する層を形成するステップ
    と、 前記側部表面上に第1側壁を有するエピタキシャル・チ
    ャネルを形成するステップと、 前記チャネルの第2側壁を露出させるために前記層を除
    去するステップと、 前記第1チャネルの端に結合されたソースおよびドレイ
    ン領域を形成するステップと、 前記チャネルの側壁の少なくとも一方に隣接してゲート
    を形成するステップを含む電界効果トランジスタ(FE
    T)を形成する方法。
  2. 【請求項2】基板と、 前記基板におけるソース領域およびドレイン領域であっ
    て、前記ソース領域および前記ドレイン領域の各々が頂
    部、底部、および少なくとも2つの側部拡散表面を有
    し、前記拡散表面の対応する1つと実質的に共面の頂
    部、底部および側部チャネル表面を有するエピタキシャ
    ル成長チャネル領域によって分離されたソース領域およ
    びドレイン領域と、 前記頂部および側部チャネル表面に隣接し、前記頂部お
    よび側部チャネル表面から電気的に絶縁されたゲート
    と、 FETを制御するためのゲート制御電圧を受け取るため
    の接点を有する平坦な頂部表面を備えた前記ゲートとを
    備えた電界効果トランジスタ(FET)。
  3. 【請求項3】前記ソースおよびドレインがFETを制御
    するための制御電圧を受け取るための接点を有する請求
    項2に記載のFET。
  4. 【請求項4】前記ゲートが実質的に前記ソース領域と前
    記ドレイン領域との間の中央に位置し、かつそれらと実
    質的に平行である請求項2に記載のFET。
  5. 【請求項5】前記ゲートの頂部表面と接触する珪化物層
    をさらに備えた請求項2に記載のFET。
  6. 【請求項6】前記ゲートの第1側端および第2側端と接
    触する誘電体層をさらに備えた請求項2に記載のFE
    T。
  7. 【請求項7】前記チャネルの側部表面と接触する誘電体
    をさらに備えた請求項2に記載のFET。
  8. 【請求項8】前記ゲートがポリシリコンから構成される
    請求項2に記載のFET。
  9. 【請求項9】前記チャネルがFETの長さの約4分の1
    である請求項2に記載のFET。
  10. 【請求項10】各々が実質的に共面の頂部表面を有し、
    前記それぞれ実質的に共面の頂部表面上に接点パッドを
    有する電気的に分離された2つの部分に、前記ゲートを
    電気的に分離するための前記ゲート内の誘電体材料をさ
    らに含む請求項2に記載のFET。
  11. 【請求項11】前記エピタキシャル・チャネルがIV族
    元素の組み合わせから形成される請求項2に記載のFE
    T。
  12. 【請求項12】前記エピタキシャル・チャネルがシリコ
    ンとIV族元素の合金から形成される請求項2に記載の
    FET。
  13. 【請求項13】前記エピタキシャル・チャネルがシリコ
    ンと、ゲルマニウムおよび炭素の少なくとも1つとの合
    金から形成される請求項2に記載のFET。
  14. 【請求項14】基板上に第1および第2エピタキシャル
    成長チャネルを形成するステップと、 ソースおよびドレインの側部表面が前記第1および第2
    エピタキシャル成長チャネルの相対する端部表面と接触
    するように、シリコン層内の領域をエッチングしてソー
    スおよびドレインを形成するステップと、 前記第1および第2エピタキシャル成長チャネルの頂部
    表面および2つの側部表面、ならびに基板の頂部表面に
    接触するゲートを形成するステップとを含む二重ゲート
    電界効果トランジスタ(FET)を形成する方法。
  15. 【請求項15】前記形成ステップが、 シリコン・ラインの各端が前記ソースおよびドレインの
    端と接触するように、第1および第2シリコン・ライン
    を形成するステップと、 前記第1および第2シリコン・ラインの各々の露出した
    側部表面上でエッチ・ストップ層を形成するステップ
    と、 各エッチ・ストップ層上で第1および第2シリコン層を
    エピタキシャル成長させるステップと、 前記第1および第2シリコン・ラインおよびエッチ・ス
    トップ層をエッチングで除去するステップと、 前記第1および第2エピタキシャル成長シリコン層の周
    囲および前記ソースとドレインとの間の領域を酸化物充
    填材で充填するステップと、 前記酸化物充填材の一部分をエッチングして、前記ソー
    スと前記ドレインとの間の実質的に中央に位置しかつそ
    れらと実質的に平行であるようなゲートを画定する領域
    を形成するステップと、 材料を堆積してゲートを形成するステップとを含む請求
    項14に記載の方法。
  16. 【請求項16】前記ゲートと前記ソースとの間の酸化物
    充填材をエッチングして、前記第1および第2エピタキ
    シャル成長シリコン層を露出させるステップと、 前記ゲートと前記ドレインとの間の酸化物充填材をエッ
    チングして、前記第1および第2エピタキシャル成長シ
    リコン層を露出させるステップとをさらに含む請求項1
    5に記載の方法。
  17. 【請求項17】前記第1および第2エピタキシャル成長
    シリコン層の上に酸化物を形成するステップをさらに備
    えた請求項16に記載の方法。
  18. 【請求項18】前記酸化物が二酸化シリコンである請求
    項17に記載の方法。
  19. 【請求項19】前記ゲートと前記ソースとの間の前記エ
    ピタキシャル成長シリコン層の一部分に注入するステッ
    プと、 前記ゲートと前記ドレインとの間の前記エピタキシャル
    成長シリコン層の一部分に注入するステップとをさらに
    含む請求項16に記載の方法。
  20. 【請求項20】前記注入ステップが、前記エピタキシャ
    ル成長シリコン層の頂部表面に垂直なベクトルに対して
    10から45度の範囲内にある請求項18に記載の方
    法。
  21. 【請求項21】前記注入が相互に対して約90度の角度
    で連続して行われる請求項14に記載の方法。
  22. 【請求項22】前記ゲート、前記ソース、および前記ド
    レインの各々の上に接点を形成するステップをさらに含
    む請求項14に記載の方法。
  23. 【請求項23】ゲート材料がポリシリコンである請求項
    14に記載の方法。
JP2001319845A 2000-10-18 2001-10-17 電界効果トランジスタの製造方法 Expired - Fee Related JP4071951B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/691353 2000-10-18
US09/691,353 US7163864B1 (en) 2000-10-18 2000-10-18 Method of fabricating semiconductor side wall fin

Publications (2)

Publication Number Publication Date
JP2002198538A true JP2002198538A (ja) 2002-07-12
JP4071951B2 JP4071951B2 (ja) 2008-04-02

Family

ID=24776208

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001319845A Expired - Fee Related JP4071951B2 (ja) 2000-10-18 2001-10-17 電界効果トランジスタの製造方法

Country Status (9)

Country Link
US (3) US7163864B1 (ja)
EP (1) EP1202335B1 (ja)
JP (1) JP4071951B2 (ja)
KR (1) KR100444095B1 (ja)
CN (1) CN100530567C (ja)
AT (1) ATE426246T1 (ja)
DE (1) DE60138000D1 (ja)
SG (1) SG97204A1 (ja)
TW (1) TW526564B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128185A (ja) * 2002-10-02 2004-04-22 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置、並びにその製造方法
WO2004090992A1 (ja) * 2003-04-09 2004-10-21 Nec Corporation 高移動度シリコンチャネルを有する縦型misfet半導体装置
JP2006516820A (ja) * 2003-01-23 2006-07-06 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 細型フィン構造のフィンfetの形成方法
JP2006310594A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置の製造方法
JP2007311817A (ja) * 2007-07-12 2007-11-29 Toshiba Corp 半導体装置の製造方法
JP2008053725A (ja) * 2006-08-23 2008-03-06 Interuniv Micro Electronica Centrum Vzw フィンベース半導体デバイスのドーピング方法
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
JP2008160145A (ja) * 2008-02-04 2008-07-10 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置
JP2009065177A (ja) * 2003-05-01 2009-03-26 Internatl Business Mach Corp <Ibm> マルチファセット・ゲートmosfetデバイス
US7538013B2 (en) 2003-06-13 2009-05-26 Kabushiki Kaisha Toshiba Method of manufacturing a field effect transistor comprising an insulating film including metal oxide having crystallinity and different in a lattice distance from semiconductor substrate
US7592686B2 (en) 2004-09-10 2009-09-22 Samsung Electronics Co., Ltd. Semiconductor device having a junction extended by a selective epitaxial growth (SEG) layer and method of fabricating the same
KR100973092B1 (ko) 2003-07-21 2010-07-29 매그나칩 반도체 유한회사 실리콘 온 인슐레이터 웨이퍼를 이용한 수직구조의 모스커패시터의 제조방법
KR101020811B1 (ko) * 2002-09-30 2011-03-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 개선된 캐리어 이동도를 구비한 finfet과 그 형성방법
JP2011181945A (ja) * 2007-01-22 2011-09-15 Panasonic Corp 半導体装置の製造方法
JP2011198937A (ja) * 2010-03-18 2011-10-06 Renesas Electronics Corp 半導体装置の製造方法
JP2013038301A (ja) * 2011-08-10 2013-02-21 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2014175526A (ja) * 2013-03-11 2014-09-22 Hitachi Ltd 半導体光素子およびその製造方法
JP2014199952A (ja) * 2003-03-17 2014-10-23 三星電子株式会社Samsung Electronics Co.,Ltd. トランジスタの製造方法
US9748391B2 (en) 2005-02-23 2017-08-29 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100474850B1 (ko) * 2002-11-15 2005-03-11 삼성전자주식회사 수직 채널을 가지는 비휘발성 sonos 메모리 및 그 제조방법
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
WO2004073044A2 (en) * 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6894326B2 (en) * 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7013447B2 (en) * 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
US6946377B2 (en) * 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7029958B2 (en) 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US7498225B1 (en) 2003-12-04 2009-03-03 Advanced Micro Devices, Inc. Systems and methods for forming multiple fin structures using metal-induced-crystallization
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7115920B2 (en) * 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
KR20070099671A (ko) * 2005-01-28 2007-10-09 엔엑스피 비 브이 이중-게이트 fet 제조 방법
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7902598B2 (en) 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
DE102006001680B3 (de) 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7446001B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductors, Inc. Method for forming a semiconductor-on-insulator (SOI) body-contacted device with a portion of drain region removed
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7670928B2 (en) 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
EP1916717A3 (en) * 2006-08-23 2010-12-22 Imec Method for doping a fin-based semiconductor device
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
KR100827696B1 (ko) * 2006-12-08 2008-05-07 삼성전자주식회사 액티브 영역의 변화 없이 사이즈 조절이 가능한 트랜지스터레이아웃 구조 및 트랜지스터 사이즈 조절 방법
US7838948B2 (en) * 2007-01-30 2010-11-23 Infineon Technologies Ag Fin interconnects for multigate FET circuit blocks
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7825328B2 (en) * 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US7709390B2 (en) * 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
WO2009035746A2 (en) * 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells
ATE506696T1 (de) * 2007-09-26 2011-05-15 St Microelectronics Crolles 2 Verfahren zur herstellung eines drahtteils in einer integrierten elektronischen schaltung
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US8034697B2 (en) 2008-09-19 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8629446B2 (en) * 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8338256B2 (en) 2010-07-08 2012-12-25 International Business Machines Corporation Multi-gate transistor having sidewall contacts
US8298913B2 (en) * 2010-10-12 2012-10-30 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8404560B2 (en) * 2010-10-12 2013-03-26 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8455330B2 (en) 2010-10-12 2013-06-04 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
FR2995720B1 (fr) * 2012-09-18 2014-10-24 Commissariat Energie Atomique Procede de realisation d'un dispositif a effet de champ a double grille a grilles independantes
US8895395B1 (en) 2013-06-06 2014-11-25 International Business Machines Corporation Reduced resistance SiGe FinFET devices and method of forming same
CN105321820B (zh) * 2014-07-08 2018-09-14 台湾积体电路制造股份有限公司 通过opc修改布局设计以降低拐角圆化效应
KR102290793B1 (ko) 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US9704859B1 (en) 2016-05-06 2017-07-11 International Business Machines Corporation Forming semiconductor fins with self-aligned patterning
US10157745B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation High aspect ratio gates
US10276442B1 (en) 2018-05-30 2019-04-30 Globalfoundries Inc. Wrap-around contacts formed with multiple silicide layers
US20240105793A1 (en) * 2022-09-26 2024-03-28 Psemi Corporation Transistors designed with reduced leakage

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3876480A (en) 1972-08-28 1975-04-08 Motorola Inc Method of manufacturing high speed, isolated integrated circuit
US4716128A (en) 1986-12-10 1987-12-29 General Motors Corporation Method of fabricating silicon-on-insulator like devices
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
FR2645345A1 (fr) * 1989-03-31 1990-10-05 Thomson Csf Procede de modulation dirigee de la composition ou du dopage de semi-conducteurs, notamment pour la realisation de composants electroniques monolithiques de type planar, utilisation et produits correspondants
US5049521A (en) 1989-11-30 1991-09-17 Silicon General, Inc. Method for forming dielectrically isolated semiconductor devices with contact to the wafer substrate
FR2666172B1 (fr) * 1990-08-24 1997-05-16 Thomson Csf Transistor de puissance et procede de realisation.
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
EP0510667B1 (en) * 1991-04-26 1996-09-11 Canon Kabushiki Kaisha Semiconductor device having an improved insulated gate transistor
JPH05121321A (ja) * 1991-10-25 1993-05-18 Rohm Co Ltd シリコンの結晶成長法
US5273921A (en) * 1991-12-27 1993-12-28 Purdue Research Foundation Methods for fabricating a dual-gated semiconductor-on-insulator field effect transistor
US5391506A (en) 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5365097A (en) * 1992-10-05 1994-11-15 International Business Machines Corporation Vertical epitaxial SOI transistor, memory cell and fabrication methods
US5346934A (en) * 1992-12-21 1994-09-13 Chriss Henry T Footwear additive made from recycled materials
EP1275885A1 (en) * 1993-07-29 2003-01-15 Fuji Jukogyo Kabushiki Kaisha Failure detecting system and method for automatic transmission
US5593928A (en) 1993-11-30 1997-01-14 Lg Semicon Co., Ltd. Method of making a semiconductor device having floating source and drain regions
US5494837A (en) 1994-09-27 1996-02-27 Purdue Research Foundation Method of forming semiconductor-on-insulator electronic devices by growing monocrystalline semiconducting regions from trench sidewalls
DE4441901C2 (de) * 1994-11-24 1998-07-02 Siemens Ag MOSFET auf SOI-Substrat und Verfahren zu dessen Herstellung
US5581101A (en) 1995-01-03 1996-12-03 International Business Machines Corporation FET and/or bipolar devices formed in thin vertical silicon on insulator (SOI) structures
US5675164A (en) * 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
US5757038A (en) * 1995-11-06 1998-05-26 International Business Machines Corporation Self-aligned dual gate MOSFET with an ultranarrow channel
DE19548058C2 (de) * 1995-12-21 1997-11-20 Siemens Ag Verfahren zur Herstellung eines MOS-Transistors
US5698884A (en) * 1996-02-07 1997-12-16 Thunderbird Technologies, Inc. Short channel fermi-threshold field effect transistors including drain field termination region and methods of fabricating same
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US6118161A (en) * 1997-04-30 2000-09-12 Texas Instruments Incorporated Self-aligned trenched-channel lateral-current-flow transistor
KR100281110B1 (ko) * 1997-12-15 2001-03-02 김영환 반도체소자및그제조방법
KR100295636B1 (ko) * 1997-12-17 2001-08-07 김영환 박막트랜지스터및그제조방법
US6177299B1 (en) 1998-01-15 2001-01-23 International Business Machines Corporation Transistor having substantially isolated body and method of making the same
US6040605A (en) * 1998-01-28 2000-03-21 Hitachi, Ltd. Semiconductor memory device
US6013936A (en) * 1998-08-06 2000-01-11 International Business Machines Corporation Double silicon-on-insulator device and method therefor
DE19853268C2 (de) * 1998-11-18 2002-04-11 Infineon Technologies Ag Feldeffektgesteuerter Transistor und Verfahren zu dessen Herstellung
JP2000243854A (ja) * 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
US6479847B2 (en) * 1999-05-07 2002-11-12 International Business Machines Corporation Method for complementary oxide transistor fabrication
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6563131B1 (en) * 2000-06-02 2003-05-13 International Business Machines Corporation Method and structure of a dual/wrap-around gate field effect transistor
US6441433B1 (en) * 2001-04-02 2002-08-27 Advanced Micro Devices, Inc. Method of making a multi-thickness silicide SOI device
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100431489B1 (ko) * 2001-09-04 2004-05-12 한국과학기술원 플래쉬 메모리 소자 및 제조방법
US6800905B2 (en) * 2001-12-14 2004-10-05 International Business Machines Corporation Implanted asymmetric doped polysilicon gate FinFET
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6833569B2 (en) * 2002-12-23 2004-12-21 International Business Machines Corporation Self-aligned planar double-gate process by amorphization
US7192876B2 (en) * 2003-05-22 2007-03-20 Freescale Semiconductor, Inc. Transistor with independent gate structures
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101020811B1 (ko) * 2002-09-30 2011-03-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 개선된 캐리어 이동도를 구비한 finfet과 그 형성방법
JP4546021B2 (ja) * 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 絶縁ゲート型電界効果型トランジスタ及び半導体装置
JP2004128185A (ja) * 2002-10-02 2004-04-22 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置、並びにその製造方法
JP2006516820A (ja) * 2003-01-23 2006-07-06 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 細型フィン構造のフィンfetの形成方法
JP2014199952A (ja) * 2003-03-17 2014-10-23 三星電子株式会社Samsung Electronics Co.,Ltd. トランジスタの製造方法
JPWO2004090992A1 (ja) * 2003-04-09 2006-07-06 日本電気株式会社 高移動度シリコンチャネルを有する縦型misfet半導体装置
JP4632046B2 (ja) * 2003-04-09 2011-02-16 日本電気株式会社 高移動度シリコンチャネルを有する縦型misfet半導体装置
WO2004090992A1 (ja) * 2003-04-09 2004-10-21 Nec Corporation 高移動度シリコンチャネルを有する縦型misfet半導体装置
JP2009065177A (ja) * 2003-05-01 2009-03-26 Internatl Business Mach Corp <Ibm> マルチファセット・ゲートmosfetデバイス
US7538013B2 (en) 2003-06-13 2009-05-26 Kabushiki Kaisha Toshiba Method of manufacturing a field effect transistor comprising an insulating film including metal oxide having crystallinity and different in a lattice distance from semiconductor substrate
KR100973092B1 (ko) 2003-07-21 2010-07-29 매그나칩 반도체 유한회사 실리콘 온 인슐레이터 웨이퍼를 이용한 수직구조의 모스커패시터의 제조방법
US7915685B2 (en) 2003-08-22 2011-03-29 International Business Machines Corporation Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7384830B2 (en) 2003-08-22 2008-06-10 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7842562B2 (en) 2003-08-22 2010-11-30 International Business Machines Corporation Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7592686B2 (en) 2004-09-10 2009-09-22 Samsung Electronics Co., Ltd. Semiconductor device having a junction extended by a selective epitaxial growth (SEG) layer and method of fabricating the same
US7803684B2 (en) 2004-09-10 2010-09-28 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having a junction extended by a selective epitaxial growth (SEG) layer
US9748391B2 (en) 2005-02-23 2017-08-29 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US10121897B2 (en) 2005-02-23 2018-11-06 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP2006310594A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置の製造方法
JP2008053725A (ja) * 2006-08-23 2008-03-06 Interuniv Micro Electronica Centrum Vzw フィンベース半導体デバイスのドーピング方法
JP2011181945A (ja) * 2007-01-22 2011-09-15 Panasonic Corp 半導体装置の製造方法
JP2007311817A (ja) * 2007-07-12 2007-11-29 Toshiba Corp 半導体装置の製造方法
JP2008160145A (ja) * 2008-02-04 2008-07-10 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置
JP2011198937A (ja) * 2010-03-18 2011-10-06 Renesas Electronics Corp 半導体装置の製造方法
JP2013038301A (ja) * 2011-08-10 2013-02-21 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2014175526A (ja) * 2013-03-11 2014-09-22 Hitachi Ltd 半導体光素子およびその製造方法

Also Published As

Publication number Publication date
US20070026617A1 (en) 2007-02-01
SG97204A1 (en) 2003-07-18
US7163864B1 (en) 2007-01-16
JP4071951B2 (ja) 2008-04-02
ATE426246T1 (de) 2009-04-15
US20050001216A1 (en) 2005-01-06
EP1202335A3 (en) 2004-09-08
KR20020031286A (ko) 2002-05-01
EP1202335A2 (en) 2002-05-02
CN1349249A (zh) 2002-05-15
CN100530567C (zh) 2009-08-19
TW526564B (en) 2003-04-01
KR100444095B1 (ko) 2004-08-11
US7361556B2 (en) 2008-04-22
EP1202335B1 (en) 2009-03-18
US7265417B2 (en) 2007-09-04
DE60138000D1 (de) 2009-04-30

Similar Documents

Publication Publication Date Title
JP4071951B2 (ja) 電界効果トランジスタの製造方法
US6483156B1 (en) Double planar gated SOI MOSFET structure
JP4110085B2 (ja) 二重ゲート型電界効果トランジスタの製造方法
USRE45944E1 (en) Structure for a multiple-gate FET device and a method for its fabrication
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
KR100874960B1 (ko) 고 이동도 반도체 어셈블리, 고 이동도 반도체 기판 및 고이동도 반도체 어셈블리의 제조 방법
US6709982B1 (en) Double spacer FinFET formation
US6605514B1 (en) Planar finFET patterning using amorphous carbon
US8227316B2 (en) Method for manufacturing double gate finFET with asymmetric halo
US20040007738A1 (en) Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6833569B2 (en) Self-aligned planar double-gate process by amorphization
US20050199948A1 (en) Fin field effect transistors with epitaxial extension layers and methods of forming the same
JP2000277745A (ja) ダブルゲート集積回路及びその製造方法
US20070102756A1 (en) FinFET transistor fabricated in bulk semiconducting material
US20160035872A1 (en) Method for the formation of silicon and silicon-germanium fin structures for finfet devices
JP2003163356A (ja) 二重ゲート・トランジスタおよびその製造方法
US10468412B2 (en) Formation of a semiconductor device with selective nitride grown on conductor
US7648880B2 (en) Nitride-encapsulated FET (NNCFET)
US10680065B2 (en) Field-effect transistors with a grown silicon-germanium channel
JPS63318163A (ja) 電界効果型半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060516

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060731

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4071951

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120125

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140125

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees