KR100281110B1 - 반도체소자및그제조방법 - Google Patents

반도체소자및그제조방법 Download PDF

Info

Publication number
KR100281110B1
KR100281110B1 KR1019970068703A KR19970068703A KR100281110B1 KR 100281110 B1 KR100281110 B1 KR 100281110B1 KR 1019970068703 A KR1019970068703 A KR 1019970068703A KR 19970068703 A KR19970068703 A KR 19970068703A KR 100281110 B1 KR100281110 B1 KR 100281110B1
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
insulating film
semiconductor
layer
region
Prior art date
Application number
KR1019970068703A
Other languages
English (en)
Other versions
KR19990049708A (ko
Inventor
강창용
Original Assignee
김영환
현대반도체주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김영환, 현대반도체주식회사 filed Critical 김영환
Priority to KR1019970068703A priority Critical patent/KR100281110B1/ko
Priority to US09/124,033 priority patent/US6031261A/en
Priority to JP34827698A priority patent/JP3455452B2/ja
Publication of KR19990049708A publication Critical patent/KR19990049708A/ko
Application granted granted Critical
Publication of KR100281110B1 publication Critical patent/KR100281110B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76267Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation

Abstract

반도체소자 및 그 제조방법에 관한 것으로 특히, 에스오아이(SOI) 구조의 반도체기판이 플로팅(floating)되는 문제와 숏 채널 효과(short channel effect)를 개선하기에 적당한 반도체소자 및 그 제조방법에 관한 것이다. 이와 같은 본 발명 반도체소자는 트랜치를 갖는 반도체기판, 상기 반도체기판 전면에 형성된 제 1 절연막, 상기 트랜치내의 상기 제 1 절연막 위에 형성된 제 1 반도체층, 상기 제 1 반도체층 양측의 상기 제 1 절연막상에 형성된 제 2 절연막, 상기 제 2 절연막상에 형성된 제 2 반도체층, 상기 제 1, 제 2 반도체층 표면 및 제 2 절연막 표면에 형성된 제 3 반도체층, 상기 트랜치 상측의 상기 제 3 반도체층상에 형성된 제 3 절연막, 그리고 상기 제 3 절연막위에 형성된 전도층을 포함한다.

Description

반도체소자 및 그 제조방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}
본 발명은 반도체소자 및 그 제조방법에 관한 것으로 특히, 에스오아이(SOI : Silicon On Insulator) 구조의 기판이 플로팅되는 문제와 숏 채널 효과를 개선하기에 적당한 반도체소자 및 그 제조방법에 관한 것이다.
모스(MOS : Metal Oxide Semiconductor) 기술은 실리콘 반도체 표면을 양질의 절연특성을 가진 실리콘 산화막으로 처리하는 것으로서 트랜지스터의 특성 및 제조방법에 혁신적인 개량을 가져온 기술이다.
이와 같은, 모스(MOS) 기술의 발명으로 반도체 표면 디바이스의 실용화에 박차가 가해져서 1962년 텍사스 인스트루먼트(texas instruments)사에서 최초의 전계효과 트랜지스터(FET : Field Effect Transistor)가 발표되었다.
이러한 모스펫(MOSFET) 소자로는 피모스(p channel MOS), 엔모스(n channel MOS) 그리고 씨모스(CMOS)가 있다. 모스소자는 초기에는 소비전력 및 집적회로 제조시 프로세스 콘트롤이 비교적 용이한 피모스(pMOS) 소자를 주로 사용하였으나 소자의 스피드를 중요시하게 됨에 따라 캐리어의 이동도(mobility)가 정공의 이동도(mobility) 보다 약 2.5배 정도 빠른 이동도(mobility)를 갖고 있는 전자를 이용하는 엔모스(nMOS) 소자를 이용하게 되었다. 그리고 씨모스(CMOS) 소자는 집적밀도와 제조 프로세스가 복잡하다는 점에서는 피모스(pMOS)나 엔모스(nMOS) 소자 보다는 떨어지지만 소비전력이 아주 적다는 특징이 있다. 현재는 소자의 메모리부는 엔모스를 사용하고 주변회로부에서는 씨모스를 사용하는 방식으로 바뀌고 있다.
그리고 이와 같은 씨모스는 반도체 소자의 구성이 반도체기판내에서 시작되는 벌크(Bulk)씨모스와 절연층상에 실리콘(Si) 단결정 박막을 형성하고 그위에 반도체 소자를 형성하는 에스오아이(SOI : Silicon On Insulator) 씨모스로 구분된다.
상기 SOI 구조는 기판에 관계되는 일체의 용량이나 기생효과(寄生效果)를 무시할 수 있어 소자 분리구조에서 나타나는 래치 업(latch up) 현상이나 소프트에러(soft error) 현상이 없는 씨모스(CMOS : Complementary Metal Oxide Semiconductor) 회로를 구성할 수 있는 잇점이 있다.
SOI를 기술적인 면에서 분류하면 사파이어(Sapphire) 등의 단결정 절연층상에 단결정을 성장시키는 에피택셜 성장법과 절연막인 산화막상에 다결정 또는 비정질 실리콘 박막을 퇴적(deposition)하고 이 실리콘 박막을 가로방향으로 용융 재결정시키거나 또는 고상성장(solid phase epitaxy)시키는 퇴적막 재결정화법 및 반도체기판중에 산화막 등의 절연층을 매입(buried)하는 단결정 분리법 등이 있다. 그중에서 에피택셜 퇴적법은 SOS(Silicon On Sapphire)가 대표적이다. 그리고, 퇴적막 재결정화법중 용융 재결정화법은 산화막 상에 CVD법 등에 따라 퇴적한 다결정 실리콘 박막의 일부를 레이저 빔이나 전자 빔 등의 에너지 빔으로 가열, 용융하고 그 용융영역을 웨이퍼 상에서 재결정화하여 단결정 박막을 얻는 방법이며, 고상(固相)성장법은 기판상에서 절연막의 여러 결정영역에 비정질 실리콘막을 퇴적하고 어닐링하여 에피택셜 성장시키는 방법이다. 마지막으로 단결정 분리법은 단결정 실리콘 기판 중에 산소이온 또는 질소이온을 이온주입하여 표면층의 단결정 실리콘층을 남기고 내부에 산화막층 또는 질화막층을 매입(buried)하여 SOI 구조로 이용하는 방법이다. 특히 산소이온을 이온 주입하는 방식을 SIMOX(Separation by IMplanted OXygen)라고 한다.
이와 같이 완전한 분리 구조를 갖는 SOI 구조는 여러잇점이 있는데 특히 SOI 씨모스는 벌크 씨모스에 비해 저소비전력, 고집적도, 내(耐)소프트에러, 내(耐)래치-업, 고속동작이라고 하는 점에서 우수하다.
이와 같은 종래 에스오아이 구조의 반도체소자를 첨부된 도면을 참조하여 설명하기로 한다.
도 1a는 종래 일 에스오아이 구조 반도체소자의 채널 길이에 따른 단면 구조도이고, 도 1b는 종래 일 에스오아이 구조 반도체소자의 폭 방향에 따른 단면 구조도이다.
종래 SOI 구조의 반도체소자는 도 1a 및 도 1b에 나타낸 바와 같이, 반도체기판(1)과, 상기 반도체기판(1)의 표면에서는 활성영역을 제외한 반도체 기판(1)에 형성되며, 반도체기판(1)내에서는 바디 콘택 영역(body contact region)(A)을 제외한 영역에 형성된 매몰 산화막(2)과, 상기 반도체기판(1)의 소정영역상에 게이트 산화막(3)을 개재하여 형성된 게이트 전극(4)과, 상기 게이트 전극(4)양측의 반도체기판(1)에 형성된 소오스/드레인 영역(5)을 포함하여 구성된다.
이와 같은 종래 일 에스오아이 구조의 반도체소자는 SIMOX(Separation by IMplanted OXygen)방식에 의한 모스 트랜지스터(MOSFET)를 형성한 것으로 반도체기판(1) 내에 산소 이온 또는 질소 이온을 주입하여 반도체기판(1)표면의 단결정 실리콘층은 그대로 남기고 기판내에 산화막이나 질화막을 매입(buried)하는 것이 특징이다.
이때, 종래 일 에스오아이 구조의 반도체소자는 마스크(도시하지 않음)를 이용하여 선택적으로 기판에 산소이온을 주입하는 것으로, 산소이온이 주입되지 않은 기판의 벌크(bulk)에는 열처리후에도 매몰 산화막(2)이 형성되지 않게 되고 그 부분을 바디 콘택 영역(A)으로 이용하는 것이다.
이와 같은 바디 콘택 영역(A)을 형성하는 이유를 이하에서 설명하기로 한다.
게이트 전극(4)에 전압을 인가하면 소오스/드레인 영역(5)사이의 채널 영역을 통해 캐리어가 드레인 부근의 고전계에 의해 가속되고 그 에너지가 기판과 게이트 산화막의 베리어를 초과하면 핫 캐리어로 되어 게이트 산화막내로 주입되게 된다. 이때, 주입되는 일렉트론을 채널 핫 일렉트론이라 한다. 또, 고전계중에서 충분히 큰 에너지를 얻은 캐리어는 충돌 이온화(impact ionization)에 따라 새로운 전자, 정공(hole)을 생성하고, 새로이 발생한 전자는 드레인의 전계에 따라 대부분 드레인에 흡입되지만 일부는 에버런치(avalanch) 핫 일렉트론이 되어 게이트 산화막내에 주입된다. 한편, 홀은 기판내를 흘러 기판전류로 되지만 일부는 게이트 산화막으로도 주입된다.
한편, 홀에 의해 생성된 기판전류는 기판전위를 올리면 이른바, 기생 바이폴러 브레이크 다운을 일으켜 드레인 내압을 저하시킨다.
이와 같은 문제를 해결하기 위하여 종래 일 SOI 구조 반도체소자에 있어서는 드레인 영역부근에서의 충돌 이온화에 의해 발생한 홀들을 바디 콘택 영역을 통해 제거하므로 SOI 구조의 반도체소자가 플로팅되는 문제를 방지하였다.
이때, 상기한 바와 같이 기판이 플로팅 되는 것을 플로팅 바디 이펙트라고 하는데 상기한 바와 같은 플로팅 바디 이펙트로는 문턱전압이 변하거나 전류-전압 커브가 비정상적으로 되는 등의 문제를 발생시키게 된다. 그리고, 그와 같은 문제는 PMOS 보다는 NMOS에서 심각한 문제인데 그 이유는 소자 동작중이나 α-입자(alpha particle) 등에 의해 바디(채널 영역이나 반도체기판)에 발생한 홀들이 바디에 축적되기 때문에 발생한다.
도 2는 종래 다른 에스오아이 구조의 반도체소자 단면 구조도이다.
종래 다른 에스오아이 구조의 반도체소자는 도 2에 나타낸 바와 같이, p형 실리콘기판의 벌크에 형성된 매몰 산화막(12)으로 하부 및 상부 반도체기판(13)(11)으로 분리된 SOI 구조의 기판과, 상기 상부 반도체기판(13)에 다른 간격으로 형성된 제 1 트랜치(14)와, 상기 제 1 트랜치(14) 사이의 상부 반도체기판(13)중 넓은 영역의 상부 반도체기판(13)에 형성된 제 2 트랜치(15)와, 상기 제 1 트랜치(14)내에 형성된 절연막(16)과, 상기 제 2 트랜치(15) 및 제 2 트랜치(15)에 인접한 p형 반도체기판(13)상에 게이트 산화막(17)을 개재하여 형성된 게이트 전극(18)과, 상기 게이트 전극(18) 양측의 상부 반도체기판(13)에 형성된 n형 소오스/드레인 영역(19)과, 상기 소오스/드레인 영역(19) 일측의 제 1 트랜치(14)에 접한 p형 반도체기판(13)에 형성된 바디 콘택 영역(20)을 포함한다.
이때, 상기한 바와 같은 구조의 모스소자는 일명 코스모스(COSMOS : COncave SOI -MOSFET)구조라고 하는 것으로 p형 반도체기판(13)을 식각하여 다른 깊이 및 다른 간격으로 제 1, 제 2 트랜치(14)(15)를 형성한 후 트랜치(14)(15)가 형성되지 않은 p형 반도체기판(13)의 두꺼운 영역은 소오스/드레인 영역(19) 및 바디 콘택 영역(20)으로 사용하고, 얇은 영역은 채널영역으로 이용하는 것이다.
이와 같은 종래 다른 에스오아이 구조의 반도체소자는 게이트 전극(18)에 문턱전압(VT)이상의 전압이 인가되면, 소오스/드레인 영역(19)사이의 채널을 통해 일렉트론이 이동하여 드레인에서 소오스로 전류가 흐르게 된다. 그리고, 이때 발생한 홀들(hiles)은 매몰 산화막(12) 때문에 기판(11)으로 흐르지 못하기 때문에 그와 같은 홀들이 바디 콘택 영역(20)을 통해 제거되므로 기판이 플로팅 되는 문제를 제거할 수 있고, 그 구조적 특징 때문에 숏 채널 효과(short channel) 및 기생 소오스/드레인 저항 억제와 문턱전압의 신뢰도를 향상시킬 수 있는 것이다.
종래 에스오아이 구조 반도체소자에 있어서는 다음과 같은 문제점이 있었다.
첫째, 종래 일 에스오아이 구조 반도체소자에 있어서는 바디 콘택 영역을 형성하기 위하여 매몰 산화막을 형성할 때 선택적인 이온 주입공정을 사용하게 되는데 이때, 국부적으로 산화막이 형성되기 때문에 산화막이 형성되는 부분에서의 체적(volume) 증가로 인한 스트레스가 매몰 산화막이 형성되는 부분과 바디 콘택 영역에서 집중되어 누설 전류의 발생원인이 되는 등 소자 특성을 저하시키는 문제를 발생시켰다.
둘째, 종래 다른 에스오아이 구조 반도체소자에 있어서는 게이트 전극을 형성하는 공정이 기판을 식각한 다음 형성하므로 숏 채널 효과를 억제할 수는 있지만, SOI 구조로 형성하는 상부기판(p형 반도체기판)에 게이트 전극을 형성할 영역과 격리막을 형성할 영역을 구분하고 식각깊이를 정확히 조절해야 하는 문제점과, 격리영역을 형성하기 위한 트랜치 식각공정시 트랜치 깊이를 적절히 조절해야 하며, 바디 콘택 영역 및 소오스/드레인 영역을 형성하기 위하여 트랜치간의 거리를 넓은 영역과 좁은 영역으로 구분해서 형성하므로 공정이 복잡함은 물론 홀들이 이동할 수 있도록 하기 위하여 트랜치가 매몰 산화막까지 형성되지 못하므로 완전한 격리효과를 얻을 수 없어 반도체소자의 신뢰도 또한 저하되었다.
본 발명은 상기한 바와 같은 종래 에스오아이 구조 반도체소자의 문제점들을 해결하기 위하여 안출한 것으로 오목한(concave)구조를 갖는 에스오아이 기판에 게이트 전극을 형성하여 반도체소자가 미세화하기 때문에 발생하는 숏 채널 효과를 개선함과 동시에 기판이 플로팅되는 문제를 방지할 수 있는 반도체소자 및 그 제조방법을 제공하는데 그 목적이 있다.
도 1a는 종래 일 에스오아이 구조 반도체소자의 채널 길이 방향에 따른 단면 구조도
도 1b는 종래 일 에스오아이 구조 반도체소자의 폭 방향에 따른 단면 구조도
도 2는 종래 다른 에스오아이 구조의 반도체소자 단면 구조도
도 3은 본 발명 에스오아이 구조의 반도체소자 단면 구조도
도 4a 내지 도 4i는 본 발명 에스오아이 구조의 반도체소자 제조공정 단면도들
도면의 주요부분에 대한 부호의 설명
31 : 반도체기판 32 : 제 1 절연막
33 : 웰 영역 34 : 에피층
35 : 제 2 절연막 36 : 제 3 절연막
37 : 전도층 37a : 게이트 전극
38 : 소오스/드레인 영역
본 발명에 따른 반도체소자는 트랜치를 갖는 반도체기판, 상기 반도체기판 전면에 형성된 제 1 절연막, 상기 트랜치내의 상기 제 1 절연막 위에 형성된 제 1 반도체층, 상기 제 1 반도체층 양측의 싱기 제 1 절연막상에 형성된 제 2 절연막, 상기 제 2 절연막상에 형성된 제 2 반도체층, 상기 제 1, 제 2 반도체층 표면 및 제 2 절연막 표면에 형성된 제 3 반도체층, 상기 트랜치 상측의 상기 제 3 반도체층상에 형성된 제 3 절연막, 그리고 상기 제 3 절연막위에 형성된 전도층을 포함한다. 그리고, 상기한 바와 같은 반도체소자의 제조방법은 반도체기판을 준비하는 단계, 상기 반도체기판의 벌크에 제 1 절연막을 형성하여 상하부 반도체기판으로 분리하는 단계, 채널영역을 정의하여 상기 채널영역의 상기 상부 반도체기판 및 제 1 절연막을 선택적으로 제거하는 단계, 상기 상부 반도체기판 및 채널영역의 상기 하부 반도체기판에 제 1 도전형 웰 영역을 형성하는 단계, 상기 채널영역의 상기 하부 반도체기판 표면을 포함한 상기 제 1 절연막과 상부 반도체기판의 표면에 에피층을 형성하는 단계, 상기 하부 반도체기판 전면에 불순물 이온을 주입하여 상기 하부 반도체기판내에 제 2 절연막을 형성하는 단계, 상기 채널영역 상측의 상기 에피층상에 제 3 절연막을 형성하는 단계, 상기 제 3 절연막상에 전도층을 형성하는 단계, 그리고 상기 전도층 양측의 상기 에피층 및 상부 반도체기판의 상기 제 1 도전형 불순물 영역에 제 2 도전형 불순물 영역을 형성하는 단계를 포함한다.
이와 같은, 본 발명 반도체소자 및 그 제조방법을 첨부된 도면을 참조하여 설명하기로 한다.
도 3은 본 발명 에스오아이 구조의 반도체소자 단면 구조도이다.
도 3은 본 발명 에스오아이 구조의 반도체소자 단면 구조도이다.
본 발명에 따른 에스오아이 구조의 반도체소자는 하부 반도체기판(31b)의 표면이 소정부분 노출되도록 하부 반도체기판(31b)상에 차례로 형성된 제 1 절연막(32) 및 상부 반도체기판(31a)과, 상기 노출된 상부 반도체기판(31a) 및 하부 반도체기판(31b)의 표면내에 형성되는 웰 영역(33)과, 상기 웰 영역(33)을 포함한 상기 하부 반도체기판(31b)의 전면에 형성되는 에피층(34)과, 상기 하부 반도체기판(31b)의 표면내에 형성되는 제 2 절연막(35)과, 상기 웰 영역(33) 상부의 에피층(34)상에 형성되는 제 3 절연막(36)과, 상기 제 3 절연막(36)상에 형성되는 게이트 전극(37a)과, 상기 게이트 전극(37a) 양측의 에피층(34)과 상부 반도체기판(31a)에 형성되는 소오스/드레인 영역(38)을 포함하여 구성된다.
이때, 상기 제 1, 제 2 절연막(32)(35)은 산화막과 질화막중 어느 하나로 형성되며, 상기 웰 영역(33)과 상부 반도체기판(31a)은 단결정 실리콘층이고, 상기 게이트 전극(37a) 양측 하부에 위치한 에피층(34)과 상부 반도체기판(31a)에는 동일 도전형의 불순물 이온이 도프트되어 소오스/드레인 영역(38)이 되고, 상기 게이트 전극(37a)의 하부 반도체기판(31b) 표면내에 형성된 웰 영역(33)은 소오스/드레인 영역(38)에 도프트된 불순물 이온과 반대도전형의 불순물 이온이 도프트된 층이다. 이때, 상기 게이트 전극(37a) 하부에 위치한 에피층(34)은 불순물 이온이 도프트되지 않은 언도프트 실리콘층으로 형성할 수 있다. 그리고, 상기 웰 영역(33)과 수직한 부분에 상기 웰 영역(33)과 콘택되는 바디 콘택 영역(도시하지 않음)이 더 형성된다.
도 4a 내지 도 4i는 본 발명 에스오아이 구조 반도체소자의 제조공정을 보여주는 단면도들이다.
먼저, 도 4a에 나타낸 바와 같이, 반도체기판(31)의 벌크(bulk)에 통상의 SIMOX(Separation by IMplanted OXygen)공정으로 산소이온을 주입한 다음 열처리하여 제 1 매몰 산화막인 제 1 절연막(32)을 형성한다. 이때, 상기 반도체기판(31)은 상부 및 하부 반도체기판(31a)(31b)으로 분리된다. 그리고, 상기 제 1 절연막(32)을 형성할 때 SIMOX법을 이용한 제 1 매몰 산화막 대신 질소이온을 주입한 후 열처리하여 매몰 질화막을 형성할 수 있다.
도 4b에 나타낸 바와 같이, 상기 상부 반도체기판(31a)상에 감광막(PR31)을 도포한다음 노광 및 현상공정으로 채널영역을 정의하여 채널영역의 감광막(PR31)이 제거되도록 선택적으로 패터닝한다. 이어서, 패터닝된 상기 감광막(PR31)을 마스크로 이용한 식각공정으로 채널영역의 상기 상부 반도체기판(31a) 및 제 1 절연막(32)을 선택적으로 제거한다.
도 4c에 나타낸 바와 같이, 상기 감광막(PR31)을 제거한다. 이어서, 상기 상부 반도체기판(31a) 및 노출된 하부 반도체기판(31b)에 불순물 이온을 주입하여 웰 영역(33)을 형성한다. 즉, 상부 반도체기판(31a)의 전도도를 향상하기 위하여 n형이나 p형중 어느 하나의 불순물 이온을 주입하여 웰 영역(33)을 형성하는 것이다.
도 4d에 나타낸 바와 같이, 상기 웰 영역(33)과, 하부 반도체기판(31b) 표면 및 제 1 절연막(32)의 측면에 에피층(epitaxial layer)(34)을 형성한다. 이때, 상기 에피층(34)은 SEG(Selective Epitaxial Growth)를 이용하여 균일한 두께로 형성하는데 그에 따라 웰 영역(33)과 제 1 절연막(32)사이에서 오목한(concave) 형상으로 형성된다.
그리고, 상기 에피층(34)을 성장시킬 때 문턱전압을 조절하기 위한 불순물 이온을 도핑하는 공정을 실시한다. 즉, 상기 제 1 절연막(32)사이의 에피층(34)은 채널영역으로 그 상측으로는 게이트 전극(도시하지 않음)이 형성된다. 그리고, 상기 에피층(34)에 대한 불순물 이온 도핑 공정은 인-시투(in-situ)도핑 혹은 고상확산(SPD : Solid Phase Diffusion) 또는 이온 주입공정 중 어느 하나로 실시한다.
도 4e에 나타낸 바와 같이, 상기 하부 반도체기판(31b)에 이온 주입법으로 산소 이온을 주입한다. 이때, 이온 주입 에너지는 전면에 걸쳐서 동일한 에너지로 주입되는데 상기 제 1 절연막(32)사이의 하부 반도체기판(31b)에서는 웰 영역(33)에 인접한 하부 반도체기판(31b)에 주입하고, 제 1 절연막(32)의 하측면에서도 제 1 절연막(32)에 인접한 하부 반도체기판(31b)에 주입되도록 한다. 이때, 산소 이온대신 질소 이온을 주입할 수 있다. 그리고, 상기 산소 또는 질소 이온의 도즈(dose)는 1015∼ 1017/cm2정도이다.
도 4f에 나타낸 바와 같이, 상기 하부 반도체기판(31b)에 주입된 산소 이온을 열처리하여 제 2 매몰 산화막인 제 2 절연막(35)을 형성한다. 이때, 상기 반도체기판(31) 전면에 대한 산소 이온 주입 공정시의 이온 주입 에너지는 동일하였으므로 제 2 절연막(35)의 형상이 제 1 절연막(32) 사이의 하부 반도체기판(31b)에 홈(groove)(또는 트랜치(trench))이 생긴 것과 같은 형상으로 형성된다.
이때, 상기 제 1 절연막(32)아래에 형성되는 제 2 절연막(35)은 제 1 절연막(32)과 접하여 형성된다. 결국, 이때, 상기 하부 반도체기판(31b)이 제 2 절연막(35)에 의해 웰 영역(33)과 완벽하게 격리됨을 알 수 있다. 그리고, 질소 이온을 주입하였을 경우에는 상기 제 2 절연막(35)이 매몰 산화막이 아닌 매몰 질화막이 형성될 것이다.
도 4g에 나타낸 바와 같이, 상기 에피층(34) 전면에 제 3 절연막(36)과 전도층(37)을 차례로 형성하고 상기 전도층(37)상에 감광막(PR32)을 도포한다. 이어서, 노광 및 현상공정으로 상기 감광막(PR)을 선택적으로 패터닝하여 상기 제 1 절연막(32)사이에만 남긴다.
도 4h에 나타낸 바와 같이, 상기 감광막(PR32)을 마스크로 이용한 식각공정으로 상기 전도층(37)을 선택적으로 식각하여 게이트 전극(37a)을 형성한다. 이어서, 상기 감광막(PR32)을 제거한다.
도 4i에 나타낸 바와 같이, 상기 게이트 전극(37a)을 마스크로 이용하여 상기 게이트 전극(37a) 양측의 에피층(34) 및 상부 반도체기판(31a)에 상기 하부 반도체기판(31b)에 형성된 웰 영역(33)과 반대 도전형의 불순물 이온을 주입한후 열처리하여 소오스/드레인 영역(38)을 형성한다. 이때, 저농도 불순물 이온과 고농도 불순물 이온을 차례로 주입하여 LDD(Lightly Doped Drain)구조의 소오스/드레인 영역(38)으로 형성할 수 있다.
즉, 저농도의 불순물 이온을 에피층(34) 및 상부 반도체기판(31a)에 소정깊이로 주입한 후 상기 저농도 불순물 이온보다 얕은 깊이로 고농도의 불순물 이온을 주입하여 소오스/드레인 영역을 LDD구조로 형성하는 것이다.
그리고, 상기 게이트 전극(37a) 하부에 위치한 웰 영역(33)과 콘택되는 바디 콘택 영역(도시하지 않음)을 형성하는 공정을 추가한다. 이때, 상기 바디 콘택 영역은 상기 웰 영역(33)에 수직한 방향으로 형성된다.
이때, 상기 게이트 전극(37a) 하부의 웰 영역(33)은 상기 게이트 전극(37a)에 문턱전압이상의 전압이 인가되어 소오스/드레인 영역(38)사이의 채널영역을 통해 소오스에서 드레인으로 전자가 이동할 때 발생되는 홀(hole)들이 제 2 절연막(35) 때문에 하부 반도체기판(31b)으로 흐르지 못하도록 하고, 상기 웰 영역(33)의 일측에 형성되는 바디 콘택 영역(도시하지 않음)을 통해 제거되도록 하여 기판이 플로팅되는 것을 방지하도록 한 것이다.
이와 같은 본 발명 에스오아이 구조의 반도체소자 제조방법에 있어서는 상기한 바와 같은 방법을 사용하여 NMOS나 PMOS는 물론 CMOS 소자를 형성할 때 기판이 플로팅되는 문제없이 형성할 수 있다.
본 발명에 따른 반도체소자 및 그 제조방법에 있어서는 다음과 같은 효과가 있다.
첫째, 제 2 매몰 산화막에 의해 하부 반도체기판과 소자가 형성되는 웰 영역이나 에피층이 완벽하게 분리되어 래치-업 발생을 제거한 CMOS 소자를 제공할 수 있음은 물론 게이트 전극 하부의 웰 영역을 통해 홀들을 제거하므로 기판에 국부적으로 매몰 산화막이 형성되기 때문에 발생하는 기판 스트레스 문제와 플로팅되는 문제를 해결할 수 있다.
둘째, 수직한 구조를 갖는 게이트 전극을 형성하여 숏 채널 효과를 감소시킨 게이트 전극을 형성할 수 있으며, 홀들이 이동하는 영역이 제 2 매몰 산화막으로 둘러싸여 완벽한 격리효과를 제공할 수 있어 반도체소자의 신뢰도를 향상시킬 수 있다.
셋째, 게이트 전극을 형성할 때 특별히 식각깊이를 조절할 필요가 없이 식각공정을 진행하므로 식각깊이를 조절해야 하는 문제없이 단순한 공정으로 반도체소자를 제조할 수 있다.

Claims (6)

  1. 트랜치를 갖는 반도체기판;
    상기 반도체기판 전면에 형성된 제 1 절연막;
    상기 트랜치내의 제 1 절연막 위에 형성된 제 1 반도체층;
    상기 제 1 반도체층 양측의 제 1 절연막상에 형성된 제 2 절연막;
    상기 제 2 절연막상에 형성된 제 2 반도체층;
    상기 제 1, 제 2 반도체층 표면 및 제 2 절연막 표면에 형성된 제 3 반도체층;
    상기 트랜치 상측의 상기 제 3 반도체층상에 형성된 제 3 절연막; 그리고,
    상기 제 3 절연막위에 형성된 전도층을 포함하여 구성됨을 특징으로 하는 반도체소자.
  2. 제 1 항에 있어서, 상기 제 1 반도체층과 콘택되는 바디 콘택 영역이 더 형성됨을 특징으로 하는 반도체소자.
  3. 제 1 항에 있어서, 상기 전도층 양측에 위치한 제 2, 제 3 반도체층은 동일 도전형의 불순물 이온이 도프트된 것을 특징으로 하는 반도체소자.
  4. 제 1 항에 있어서, 상기 전도층 하부에 위치한 제 1 반도체층에는 상기 전도층 양측에 위치한 제 2, 제 3 반도체층에 도핑된 불순물 이온과 반대도전형의 불순물 이온이 도프트된 것을 특징으로 하는 반도체소자.
  5. 반도체기판을 준비하는 단계;
    상기 반도체기판의 벌크에 제 1 절연막을 형성하여 상하부 반도체기판으로 분리하는 단계;
    채널영역을 정의하여 상기 채널영역의 상기 상부 반도체기판 및 제 1 절연막을 선택적으로 제거하는 단계;
    상기 상부 반도체기판 및 채널영역의 상기 하부 반도체기판에 제 1 도전형 웰 영역을 형성하는 단계;
    상기 채널영역의 상기 하부 반도체기판 표면을 포함한 상기 제 1 절연막과 상부 반도체기판의 표면에 에피층을 형성하는 단계;
    상기 하부 반도체기판 전면에 불순물 이온을 주입 및 열처리하여 상기 하부 반도체기판내에 제 2 절연막을 형성하는 단계;
    상기 채널영역 상측의 상기 에피층상에 제 3 절연막을 형성하는 단계;
    상기 제 3 절연막상에 전도층을 형성하는 단계; 그리고,
    상기 전도층 양측의 상기 에피층 및 상부 반도체기판의 상기 제 1 도전형 웰영역에 제 2 도전형 불순물 영역을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 하는 반도체소자의 제조방법.
  6. 제 5 항에 있어서, 상기 에피층은 균일한 두께로 형성하는 것을 특징으로 하는 반도체소자의 제조방법.
KR1019970068703A 1997-12-15 1997-12-15 반도체소자및그제조방법 KR100281110B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019970068703A KR100281110B1 (ko) 1997-12-15 1997-12-15 반도체소자및그제조방법
US09/124,033 US6031261A (en) 1997-12-15 1998-07-29 Silicon-on-insulator-device and fabrication method thereof
JP34827698A JP3455452B2 (ja) 1997-12-15 1998-12-08 半導体デバイス及びその製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019970068703A KR100281110B1 (ko) 1997-12-15 1997-12-15 반도체소자및그제조방법

Publications (2)

Publication Number Publication Date
KR19990049708A KR19990049708A (ko) 1999-07-05
KR100281110B1 true KR100281110B1 (ko) 2001-03-02

Family

ID=19527304

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970068703A KR100281110B1 (ko) 1997-12-15 1997-12-15 반도체소자및그제조방법

Country Status (3)

Country Link
US (1) US6031261A (ko)
JP (1) JP3455452B2 (ko)
KR (1) KR100281110B1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278157B1 (en) 1999-01-29 2001-08-21 International Business Machines Corporation Method and apparatus for elimination of parasitic bipolar action in logic circuits including complementary oxide semiconductor (CMOS) silicon on insulator (SOI) elements
US6365934B1 (en) 1999-01-29 2002-04-02 International Business Machines Corporation Method and apparatus for elimination of parasitic bipolar action in complementary oxide semiconductor (CMOS) silicon on insulator (SOI) circuits
US6188247B1 (en) 1999-01-29 2001-02-13 International Business Machines Corporation Method and apparatus for elimination of parasitic bipolar action in logic circuits for history removal under stack contention including complementary oxide semiconductor (CMOS) silicon on insulator (SOI) elements
US6261878B1 (en) * 1999-06-21 2001-07-17 Intel Corporation Integrated circuit with dynamic threshold voltage
US6686629B1 (en) * 1999-08-18 2004-02-03 International Business Machines Corporation SOI MOSFETS exhibiting reduced floating-body effects
TW469596B (en) * 2000-04-19 2001-12-21 Winbond Electronics Corp Structure of SOI having substrate contact
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
KR100510997B1 (ko) * 2000-06-29 2005-08-31 주식회사 하이닉스반도체 복합 반도체소자의 접합전극 형성방법
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6566848B2 (en) 2000-12-26 2003-05-20 Intel Corporation Auto-calibrating voltage regulator with dynamic set-point capability
US7291884B2 (en) * 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
US7033876B2 (en) 2001-07-03 2006-04-25 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide and process for manufacturing the same
US20060038223A1 (en) * 2001-07-03 2006-02-23 Siliconix Incorporated Trench MOSFET having drain-drift region comprising stack of implanted regions
US7009247B2 (en) * 2001-07-03 2006-03-07 Siliconix Incorporated Trench MIS device with thick oxide layer in bottom of gate contact trench
AU2003297191A1 (en) * 2003-12-16 2005-07-14 International Business Machines Corporation Contoured insulator layer of silicon-on-onsulator wafers and process of manufacture
KR100593734B1 (ko) 2004-03-05 2006-06-28 삼성전자주식회사 채널부 홀 내 채널 영역을 갖는 반도체 장치의트랜지스터들 및 그 제조 방법들
KR100549007B1 (ko) * 2004-03-10 2006-02-02 삼성전자주식회사 펀치쓰루 방지막을 갖는 반도체 장치의 트랜지스터들 및그 제조 방법들
KR100655444B1 (ko) * 2005-09-26 2006-12-08 삼성전자주식회사 반도체 장치의 트랜지스터 구조체 및 그 제조 방법
US7566630B2 (en) * 2006-01-18 2009-07-28 Intel Corporation Buried silicon dioxide / silicon nitride bi-layer insulators and methods of fabricating the same
US7419858B2 (en) * 2006-08-31 2008-09-02 Sharp Laboratories Of America, Inc. Recessed-gate thin-film transistor with self-aligned lightly doped drain
US7998815B2 (en) * 2008-08-15 2011-08-16 Qualcomm Incorporated Shallow trench isolation
KR20160001817A (ko) * 2014-06-26 2016-01-07 삼성디스플레이 주식회사 금속 패턴의 형성 방법 및 표시 기판의 제조 방법
CN108807177B (zh) * 2017-05-05 2021-07-13 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869847A (en) * 1995-07-19 1999-02-09 The Hong Kong University Of Science & Technology Thin film transistor

Also Published As

Publication number Publication date
JP3455452B2 (ja) 2003-10-14
JPH11243210A (ja) 1999-09-07
KR19990049708A (ko) 1999-07-05
US6031261A (en) 2000-02-29

Similar Documents

Publication Publication Date Title
KR100281110B1 (ko) 반도체소자및그제조방법
KR100252866B1 (ko) 반도체소자 및 이의 제조방법
KR100261170B1 (ko) 반도체소자 및 그 제조방법
KR100863921B1 (ko) 반도체 장치 및 그 제조 방법
KR100246602B1 (ko) 모스트랜지스터및그제조방법
JPH05160396A (ja) Mos形電界効果トランジスタ
JP3319215B2 (ja) 絶縁ゲート型半導体装置およびその製造方法
US20060273391A1 (en) CMOS devices for low power integrated circuits
US20040253773A1 (en) SOI shaped structure
US7736961B2 (en) High voltage depletion FET employing a channel stopping implant
JP3463593B2 (ja) 電界効果型トランジスタ及びその製造方法
EP0700096A2 (en) SOI-field effect transistor und method for making the same
TWI774853B (zh) 具有減小的橫向電場之電晶體元件
KR100259593B1 (ko) 반도체장치의 제조 방법
JP3402548B2 (ja) 半導体装置の製造方法
US5925915A (en) Semiconductor on insulator devices
KR100252881B1 (ko) 씨모스펫 및 그 제조방법
KR100226730B1 (ko) 씨모스펫 및 그 제조방법
JP4265890B2 (ja) 絶縁ゲート型電界効果トランジスタの製造方法
KR100271034B1 (ko) Soi mosfet의 장점을 갖는 mosfet 및 그제조방법
KR100219073B1 (ko) 전계 효과 트랜지스터 및 그 제조방법
KR100573274B1 (ko) 전계 효과 트렌지스터 및 그의 제조방법
KR100555454B1 (ko) Soi 트랜지스터의 제조방법
KR100505402B1 (ko) 몸체 접촉 에스오아이 소자의 제조방법
KR100295687B1 (ko) 모스 트랜지스터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111024

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20121022

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee