JP2001502115A - 信頼できる極薄酸窒化物形成のための新規なプロセス - Google Patents

信頼できる極薄酸窒化物形成のための新規なプロセス

Info

Publication number
JP2001502115A
JP2001502115A JP10512614A JP51261498A JP2001502115A JP 2001502115 A JP2001502115 A JP 2001502115A JP 10512614 A JP10512614 A JP 10512614A JP 51261498 A JP51261498 A JP 51261498A JP 2001502115 A JP2001502115 A JP 2001502115A
Authority
JP
Japan
Prior art keywords
nitrogen
annealing
oxide
peak
oxidizing gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10512614A
Other languages
English (en)
Other versions
JP3976282B2 (ja
Inventor
ハオ,ミン―イン
オウグル・ジュニア,ロバート・ビィ
リスターズ,デリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2001502115A publication Critical patent/JP2001502115A/ja
Application granted granted Critical
Publication of JP3976282B2 publication Critical patent/JP3976282B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

(57)【要約】 MOSFETのゲート酸化物またはEEPROMのトンネル酸化物として使用するための、極薄誘電体層を成長させるためのプロセスを記載する。ウェハと酸窒化物との界面におよび酸窒化物の表面に窒素濃度のピークを有し、かつ、酸窒化物のバルク内に低い窒素濃度を有する、シリコン酸窒化物層が、酸化窒素および窒素性酸化ガス内で一連のアニールを行なうことによって形成される。このプロセスは、厚さを正確に制御し、界面構造を改善し、電子トラップを低密度とし、さらに、誘電体層および基板から/へのドーパントの不純物拡散を阻止することができる。このプロセスは、既存の製造プロセスに容易に統合することができ、しかも、コストはほとんど増すことがない。

Description

【発明の詳細な説明】 信頼できる極薄酸窒化物形成のための新規なプロセス発明の分野 この発明は集積回路において用いられるゲートまたはトンネル酸化物として使 用するための極薄誘電体層の形成のためのプロセスに関する。発明の背景 集積回路はますます高性能、高速および低コストになる傾向がある。したがっ て、デバイス寸法およびフィーチャサイズはあらゆるタイプの集積回路技術で縮 小しつつある。この傾向によって、金属酸化物半導体(MOS)トランジスタお よびフローティングゲート記憶素子のようなデバイスの製造において極薄誘電体 を用いることが必要となる。 MOSトランジスタば、シリコン基板における高くドープトされたソース領域 およびドレイン領域を含み、導電ゲート電極がソースとドレインとの間に配置さ れるが、薄いゲート誘電体層によって基板から分離される。適切な電圧がゲート 電極に印加されると、導電チャネルがソースとドレインとの間に生じる。より短 いチャネル、より浅いソース接合およびドレイン接合、ならびにより薄いゲート 誘電体が、より小さく、より高速のMOSデバイスを達成するのに重要である。 ある電気的消去再書込可能な読出専用メモリ(EEPROM)素子は、「フロ ーティングゲート」と称される電気的に分離されたポリシリコンゲート電極と、 フローティングゲートよりも上であり、基板からより離れた第2の制御トランジ スタゲートとを含む2層ポリシリコン構造を利用する。外部のエネルギ源によっ て変更されなければ長い期間にわたって電荷を保持するフローティングゲートは 「トンネル酸化物」として知られる非常に薄い誘電体を介する電子の画期的な機 械的トンネリングによって充電または放電される。制御トランジスタのしきい値 電圧はフローティングゲートの荷電状態と荷電されていない状態とで異なる。 現在、100Å未満の厚さであり、通常高品質のSiO2である極薄誘電体が (通常ゲート酸化物と呼ばれる)MOSゲート誘電体として利用され、フローテ ィングゲートEEPROM記憶素子においてトンネル酸化物として利用される。 これらの極薄酸化物の信頼性および再現性は厚さ制御不足、不十分な界面構造、 高い欠陥密度、および酸化物中での不純物拡散を含む多くの要因によって悪影響 を被り得る。これらの要因はデバイス性能に深刻な劣化を与え得る。 薄い酸化物中での不純物、特にボロンの拡散が処理技術における主たる問題で ある。相補MOS(CMOS)技術では、ポリシリコンゲート堆積のような多く のフロントエンド処理ステップがCMOS回路のNMOSおよびPMOSデバイ スに対して同時に行なわれ得るが、ドーパント注入ステップは異なったドーパン トが必要とされるために別個に行なわれる。ひ素およびリンは電荷担体として自 由電子を与えるドナー型材料であり、NMOSデバイスのゲートおよびソース/ ドレイン領域をドープするために最も頻繁に用いられる。ボロンは電荷担体とし て正孔を与えるアクセプタ型材料であり、PMOSデバイスの最も頻繁に用いら れるドーパントである。ドープされたポリシリコンゲートからのボロンはひ素ま たはリンよりもはるかに高いゲート酸化物層中での拡散速度を有し、PMOSデ バイス性能の深刻な劣化を起こし得る。ゲート酸化物内での電荷ボロンイオンの ある濃度は酸化物の絶縁特性を劣化させ、十分に高い濃度ではゲート酸化物の破 壊を引起こす。さらに、ゲート酸化物内のボロン電荷はトランジスタのしきい値 電圧Vrを変化させる。この変化の大きさは、拡散されたボロンイオンの濃度と 酸化物へのその浸透深さとを掛けたものの関数である。極薄ゲート酸化物では、 ボロンはゲート酸化物を完全に通過して下にある基板へと拡散し、より深刻なし きい値変化の問題をも引起こし得る。ボロン拡散の同様の問題がEEPROMの フローティングゲート記憶素子において用いられる非常に薄いトンネル酸化物に 対して証明されている。結果として生じる酸化物破壊特性劣化が起こり得るプロ グラム消去サイクルの数を減少させる。 Si基板とSiO2層との間の不十分な界面構造は主としてSiとSiO2との 間の格子の不適合によって生じる歪みから起こる。この結果の1つとして、高い 電界ストレスの間またはx線のような高エネルギ放射への露出の間に界面状態が 形成される。これらの界面状態はトランジスタのターンオン特性の劣化を引起こ す。 薄い酸化物層への窒素の混入が、ボロン拡散を抑制し、Si−SiO2界面構 造を改善することが示されてきた。特に、Si−SiO2界面での窒素のピーク とMOSFETにおけるポリシリコンゲートに隣接したSiO2表面でのピーク とからなる二重ピーク構造を有し、その間に低い窒素濃度を有する窒素濃度プロ ファイルが、ドープされたポリシリコンゲートからのボロン拡散を効果的に防ぎ 、酸化物の統合性を維持することが示されてきた。さらに、Si−SiO2界面 での窒素の混入が、界面歪みを緩和させ、高い電界ストレスの下で酸化物が界面 状態を生じないようにさせることが示されてきた。 窒化酸化物層を形成するためのいくつかの方法が用いられてきた。その第1の ものは窒化酸化物(NO)方法と称され、これは、引用によりここに援用される Moslehi他による「J電気化学学会:固体科学技術」(“J Electrochem Soc:Sol id State Science and Technology”)、第132巻、第9号、1985年9月 、第2189−2197頁に説明される。この方法は、薄い熱酸化物をSi基板 上で成長させ、これを次にアンモニア(NH3)の雰囲気内でアニールして窒素 を酸化物へと混入することを含む。炉でのアニールが初めは利用されたが、最近 では高速熱アニール(RTA)が代わりに用いられている。NO方法を用いると 、窒素の濃度ピークは、以下「界面」と称するSi−SiO2界面と、MOSF ETにおけるポリシリコンゲートに隣接する、以下「酸化物表面」と称するSi O2表面とにおいて見られる。酸化物膜内の窒素濃度は窒化時間とともに単調に 増加する。NO方法を用いて製造された酸化物はボロン浸透に対する耐性とSi −SiO2界面特性とが向上しており、欠陥密度が低い。しかしながら、窒化プ ロセスの間のNH3の分解がまた水素をSiO2層へと混入する。Si−H結合お よびSi−OH結合が形成され、電子および正孔トラッピングの大幅な増加と高 密度の固定された電荷とを引起こし、それによって、MOSFETに対するしき い値電圧が不安定となり、MOSFETおよびEEPROMに対する破壊耐久性 が劣化する。 窒化酸化物再酸化(reOxidized Nitrided Oxide、ONO)方法として知られ る第2の方法は、これもまた引用によりここに援用されるT.Hori他による「I EEE電子デバイス会報」(“IEEE Transactions on Electron Devices”)、 第36巻、第2号、1989年2月、第340−350頁に説明される。ONO 方法はNO方法のアンモニア窒化の後に付加的な高温(800−1200℃)酸 化ステップを加える。アンモニア窒化の間に酸化物層へと混入される水素は後の 酸化ステップの間に存在する酸素によって還元され、高い酸化温度で拡散する。 再酸化が進むにつれ膜における水素濃度が単調に減少することがわかっており、 減少の割合は再酸化温度および窒素ピーク濃度に依存する。水素濃度は熱的に成 長させられた酸化物に見られる水素レベルとほぼ等しい最小値に近づく。さらに 窒化された表面層が酸素拡散に対するより大きいバリアとして作用し、再酸化プ ロセスをより遅くさせると考えられる。水素濃度の低下は窒化酸化物において証 明される電荷トラッピングを比例して減少させるとわかる。 ONO方法の欠点は最適な酸化物品質を得るには比較的処理ウィンドウが狭い ことである。過度の再酸化は酸化物の電気的な質を実際に低下させることが示さ れている。NOプロセスおよびONOプロセスのさらなる欠点は酸化物のバルク における高レベルの窒素である。5−10×1020原子/ccもの高さであり得る バルク窒素濃度が誘電体を弱化させ、その破壊特性を劣化させる。 酸窒化物層を形成する別の方法はN2O環境におけるアニールを利用する。こ の方法の2つの変形例が用いられている。 1.引用によりここに援用されるA.Uchiyama他による「IEDMテクニカル ダイジェスト」(“IEDM Technical Digest”)、IEEE、1990年、第4 25−428頁に説明される、酸素環境におけるSi基板上での熱SiO2層の 形成とそれに続くN2Oでのアニール。 2.引用によりここに援用されるH.Hwang他による“Appl Phys Lett 57(10) ”、1990年9月3日、第1010−1011頁に説明される、純粋なN2O 環境にSi基板を高温で露出することによる、Si基板上への直接的な薄いシリ コン酸窒化物層の成長。 これらの変形例の両方によって形成される誘電体層は、Si−SiO2界面で の窒素ピークと、酸化物バルクへと混入される比較的少量の窒素とを示す。たと えば、2−3×1021/ccの窒素ピーク濃度と約1018/ccの酸化物バルクにおけ る窒素濃度とがN2Oにおいて摂氏1100度でアニールされた熱酸化物に対し て測定された。制御熱酸化物と比較して、これらの酸窒化物は高い電界ストレス の下での界面状態発生の著しい減少と電子トラッピングの低下とを示す。これら はまたSi基板へのボロンの浸透を抑制するためのバリアとして作用することが 示される。酸化物バルクにおける比較的低い窒素レベルが好ましい酸化物破壊特 性を生じる。 極薄シリコン酸窒化物誘電体層の成長では、直接的にN2O環境内での酸化( 上述のN2O方法の第2の変形例)が成長速度の抑制というさらなる利点を有す る。RTPを用いる、1100℃での純粋なN2O環境におけるシリコン酸窒化 物の成長速度は1.2Å/秒として測定されている。これに対して、同じ処理条 件でのO2環境における酸化物の成長速度は10Å/秒である。窒素混入を酸化 物成長と同時に行なうと、酸化体拡散バリアとして作用する界面シリコン酸窒化 物(SiOxy)層が次第に形成される。抑制された酸化速度により極薄の範囲 (<60Å)においでも良好な厚さ制御が行なわれる。 N2O環境で形成される極薄酸化物の主たる問題は、H.Hwang他によって「I EDMテクニカルダイジェスト」(“IEDM Technical Digest”)、IEEE、 1990年、第424頁において報告されるような、酸化物表面での何らかの窒 素の豊富な層の不在である。したがって、Si表面での窒素ピークが基板へのボ ロン浸透を防ぐのに効果的であっても、ボロンが酸化物へと浸透することを防ぐ ためのバリアが存在しない。さらに、ボロンがN2Oベースの酸窒化物のための 基板へと拡散しており、そのSi−SiO2界面窒素ピーク濃度がボロン拡散を 抑制するために最適なレベルよりも低いことを示した研究がある。 炉によるかまたは酸化窒素(NO)環境への直接的な高速熱露出かによって、 熱的に成長したSi−SiO2層を窒化する先行する別の方法が最近報告されて いる。高速熱方法が、引用によりここに援用されるM.Bhat他による“IEDM Tech nical Digest”、IEEE、1994年、第329−332頁に説明される。酸 化物へと混入された窒素の、二次イオン質量分析(SIMS)によって測定され るような、深さプロファイルがN2Oにおいてアニールされた熱SiO2のそれと 形状が類似しており、摂氏1000度のアニールで1022/ccもの高い界面ピー ク窒素濃度を有する。このピーク値は、著者が同様の処理条件下でのN2Oアニ ールされた酸化物に対して得たよりも2オーダー近く高い大きさである。高め られた界面窒素ピークはまた、酸化体の拡散に対する混入窒素のバリア特性のた めに自己制限性の高い酸窒化物成長を与える。窒素に富んだ界面酸窒化物層の厚 さは約5Åの値で飽和する。N2Oにおいて生成されるものよりも高い界面窒素 ピークレベルを有しながら、NOに対する熱SiO2の露出によって生成される 酸窒化物も、酸化物層自体へのボロン拡散を防ぐための表面窒素バリアが欠如し ているという問題を共有する。 引用によりここに援用されるP.Tobin他による“VLSI Tech.Sympos.”、19 93年、第51−52頁に説明される運動学的研究から、NOがN2Oにおける 熱酸化物の酸窒化の間に界面窒素蓄積を生じる重要な種であると結論付けられた 。N2Oの加熱は以下の反応によりその分解を引起こす。 N2O−N2+O、ここで原子OがO2へと再結合する。 N2O+O−2NO 950℃では、N2Oはウェハに達するまでに十分に分解され、酸窒化環境の組 成は64.3%がN2であり、31.0%がOλであり、4.7%がNOである と見積られている。したがって、N2Oアニールによる窒素界面ピークの形成は NOに対するN2Oの間接的な、熱力学的に好ましくない解離反応に依存する。 対照的に、SiとのNOの好ましい直接反応はNOアニール化酸化物に対する界 面窒素ピークレベルを向上させると考えられる。 薄い酸化物層へと窒素を混入するさらに別の方法は、Haddad他によって“IEEE Electron Device Letters”、第EDL8巻、第2号、1987年2月、第58 −60頁に説明される窒素のイオン注入によるものであり、2ピーク窒素構造を 与えるために利用されている。この方法はボロン拡散を抑制し、界面状態発生お よび電荷対破壊値を高めるのに有効であり得るが、数多くの欠点を有する。イオ ン注入は高価であり、それを酸化物成長の間にプロセスへと組込むためには標準 的なCMOS製造プロセスの大規模な再設計が伴う。また、誘電体構造への損傷 を避けながらなお破壊特性を高めるために最適な注入容量およびエネルギに対す る処理ウィンドウが狭い。発明の概要 向上された厚さ制御と、電気的特性と、酸化物およびSi基板へのボロン浸透 に対する耐性とでもって、Si基板上に極薄シリコン酸窒化物誘電体層を形成す るための改良されたプロセスを提供する。このプロセスにおいて、薄い窒素の豊 富なシリコン酸窒化物層が基板上に成長させられ、次にさらなる処理がシリコン −誘電体界面での窒素ピークと誘電体表面でのピークとを有する酸窒化物層を与 える。 この発明の目的は、Si上に極薄誘電体層を形成するための改良されたプロセ スと、このプロセスによって形成される改良された極薄誘電体層とを提供するこ とである。 この発明の別の目的は、ボロン浸透に対して耐性がある極薄シリコン酸窒化物 層をSi上に形成するためのプロセスと、このプロセスによって形成されるシリ ーズ酸窒化物層とを提供することである。 この発明の別の目的は、電子トラッピング密度が低い極薄シリコン酸窒化物層 をSi上に形成するためのプロセスと、このプロセスによって形成されるシリコ ン酸窒化物層とを提供することである。 この発明のさらなる目的は、好ましい酸化物破壊特性を有する極薄シリコン酸 窒化物層をSi上に形成するためのプロセスと、このプロセスによって形成され るシリコン酸窒化物層とを提供することである。 この発明のさらなる目的は、酸化物−シリコン界面での窒素濃度ピークと酸化 物表面での窒素濃度ピークとを有する極薄シリコン酸窒化物層をSi上に形成す るためのプロセスと、このプロセスによって形成されるシリコン酸窒化物層とを 提供することである。 さらなる目的は、正確な厚さ制御を有する極薄シリコン酸窒化物層をSi上に 形成するためのプロセスと、このプロセスによって形成されるシリコン酸窒化物 層とを提供することである。 さらなる目的は、自己制限的な、窒素の豊富な層を形成し、その後、窒素の豊 富な層の下に、Si界面に形成する第2の窒素の豊富な層で酸化物を形成するス テップを含む、Si上に極薄シリコン酸窒化物層を形成するためのプロセスを提 供することである。 さらなる目的は、水素を有する種を利用しない、Si上に極薄酸窒化物層を形 成するためのプロセスと、このプロセスによって形成される酸窒化物層とを提供 することである。 さらなる目的は、既存の半導体製造プロセスのフローと両立可能な、Si上に 改良された極薄酸窒化物層を形成するためのプロセスを提供することである。 さらなる目的は、実質的に製造コストをさらに加えない、Si上に改良された 極薄酸窒化物層を形成ずるためのプロセスを提供することである。図面の簡単な説明 図1は、この発明の好ましい実施例のプロセスフロー図である。 図2aは、好ましい酸窒化物層構造の断面図である。 図2bは、図2aの酸窒化物層における窒素濃度プロファイルの概略図である 。 図2cは、MOSトランジスタ構造において利用されるような好ましい酸窒化 物層構造の断面図である。 図2dは、図2cの酸窒化物層における窒素濃度プロファイルの概略図である 。 図2eは、図2cのMOSトランジスタ構造におけるドーパント種の濃度プロ ファイルの概略図である。 図3は、この発明に従って処理された第1のサンプルのための、酸化物表面よ り下の深さに対する窒素濃度のSIMSプロファイルである。 図4は、この発明に従って処理された第2のサンプルのための、酸化物表面よ り下の深さに対する窒素濃度のSIMSプロファイルである。 図5は、N2Oにおいてアニールされた熱酸化物を有するサンプルのための、 酸化物表面下よりの深さに対する窒素濃度の先行技術のSIMSプロファイルで ある。発明の詳細な説明 図1を参照して、本発明の好ましいプロセスフローの実施例を示す。このプロ セスシーケンスの全体は、たとえばRAPROによって製造された高速熱リアク タ(Rapid Thermal Reactor)等の、反応性熱処理(RTP)システム内で行わ れる。ステップ1において、シリコンウェハに、誘電体層をその上に成長させる ためのきれいなシリコン表面が提供される。ステップ2において、このウェハを 、10秒から3000秒の範囲の時間期間、800℃から1150℃の範囲の高 温で、1気圧の一酸化窒素(NO)ガスに浸す。ステップ4において、このNO のフローを止め、NOを排気して、1気圧のN2Oを導入する。ウェハを10秒 から3000秒の範囲の時間期間、800℃から1150℃の範囲の温度で、N2 O内でアニールする。本発明の他の実施例においては、N2Oのかわりに、たと えば酸素や蒸気等の他の酸化雰囲気を利用することも可能である。ステップ6に おいて、N2Oを排気し、酸化物とシリコンとの界面に付加的に窒素を組み込み たい場合には、NO雰囲気をふたたび導入する。この場合、ウェハはふたたび、 10秒から3000秒の範囲の時間期間、800℃から1150℃の範囲の温度 で、NO内でアニールされる。 図2aを参照して、Si基板上の酸窒化物層のための好ましい構造を示す。基 板8は、プロセスフローにおいて記載したように、NOガスおよびN2Oガス内 でアニールされて、表面11上に誘電性の酸窒化物層10が形成される。酸窒化 物層10の上部表面12は、MOSトランジスタのために後に形成される導電性 ゲートに隣接することになる。表面領域14、および誘電体層10の界面領域1 6は、図2bの窒素濃度のプロフアイル20で示されるように、高い窒素濃度を 有する。窒素濃度の表面のピーク22および、窒素濃度の界面のピーク24は、 誘電体層10を通じた不純物拡散に対する障壁を提供し、さらに、表面11にお けるSiと誘電体層との界面の構造を改善する。図2cにおいて、Si基板8上 の酸窒化物層10のための好ましい構造を示す。これは、酸窒化物層10の上方 に、たとえば濃くドープされたポリシリコン等の導電性ゲート13を有する。領 域14における窒素の表面のピーク22は、ポリシリコンゲート13から酸窒化 物10への、不純物23の不純物拡散に対して障壁を提供する。図2eは、本発 明にしたがったトランジスタのドーパント種の、急激な濃度変化のプロファイル を概略的に示す。ここで、本発明の酸窒化物層は、導電性ポリシリコンゲート1 3から酸窒化物層10内へのまたは酸窒化物層10にわたる、高濃度のドーパン トの拡散を防止しており、さらに、下地であるSi8からまたはSi8へのドー パント種の拡散に対する障壁としても作用していることがわかる。 表I.N2Oサイクルパラメータの酸化物厚さに対する影響 表Iは、図1のプロセスフローにしたがって処理されたSi基板上に成長され た酸化物について、N2Oのアニール条件および厚さのデータをまとめたもので ある。これらのデータは、CsO+,CsN+,およびCsSi+の分子クラスタ ーの深さのプロファイルを集めるのに、低エネルギ、低フルエンスのCs+イオ ンビームを用いた、SIMS分析から得たものである。酸化物とSiとの界面の 位置は、CsO信号が10だけ低下するときの深さとして規定される。すべての 計算および深さプロファイルにおいて、最初の少数のデータ点は、SIMSのブ ラストスル一現象(blast-through artifact)を排除すべく、取除かれる。残念 なことに、この現象は、酸化物層の表面において正確なデータ点が得られないよ うにするものである。SIMS分析については、「半導体材料および装置の特徴 ("Semiconductor Material and Device Characterization")」D.K.Schroder ,John Wiley and Sons,1990,Ch.10に記載されている。 表Iの実験について、基板サンプルIおよび11は双方とも、まず、1気圧の NO内で50秒間、1000℃の温度で高速熱アニールにかけられた。その後、 サンプルIは1気圧のN2Oの中、1050℃で、100秒間の高速熱アニール にかけられた。サンプルI上の測定された酸化物厚さは45Åであり、これは0 .45Å/秒の成長速度に対応する。サンプルIIは、1気圧のN2Oの中、1 100℃で200秒間、高速熱アニールにかけられた。サンプルII上の測定さ れた酸化物厚さは110Åであり、これは0.55Å/秒の成長速度に対応する 。これらの成長速度は、最初にNO内でアニールすることなくN2O雰囲気内で 酸化を行なう、先行技術において観察された速度の、およそ2分の1の速度であ る。 サンプルの各々について、N2Oアニール中に顕著な酸化物成長が見られる。 この成長は、N2Oアニール中に、酸化用の種が最初のNOアニール中に形成さ れた窒素を豊富に含む界面層16を通じて浸透することを示している。なぜなら 、基本的な酸化反応理論は、酸化反応はSiの表面において直接行われるとして いるためである。したがって、この酸化のメカニズムは、N2Oの解離反応の産 物である原子状または分子状の酸素の、窒素を豊富に含む界面領域を通じての拡 散を伴わねばならない。酸化物成長は行われるが、それは極めて遅く、したがっ て、本発明のプロセスは酸化物厚さを正確に制御することができる。 図3を参照して、酸化物層およびSiとSiO2との界面を通じてのSIMS 深さプロファイルを、サンプルIについて示す。ただし、酸化物表面に非常に近 い(すなわち、表面下、最初の10オングストロームの範囲内の)データは、ブ ラストスルー現象のために取除かれている。SiとSiO2との界面付近には、 およそ30Åの深さで、およそ7×1020atoms/ccのピーク窒素濃度が認められ る。このピーク濃度は、およそ1原子%の窒素に対応している。 図4を参照して、酸化物層およびSiとSiO2との界面を通じてのSIMS 深さプロファイルをサンプルIIについて示す。ここでも、表面部分のデータは 取除かれている。SiとSiO2との界面付近には、およそ90Åの深さで、約 6×1020atoms/ccのピーク窒素濃度が見られる。このピーク濃度は、約0.9 原子%の窒素に対応する。表Iのサンプルについて、上記シリコン酸窒化物層に おける水素原子濃度は、1018atoms/ccより低い。また、上記層内のバルクの窒 素濃度は、1018atoms/ccより低い。 図5は、N2O中で1000℃で100秒間高速熱アニールされた、厚さ10 4Åの熱成長されたSiO2層に関する、上述のBhat等による引例からの、SI MS深さプロファイルを示す。図3および図4と同様、表面のデータは取除かれ ている。この場合の窒素ピーク濃度の位置および大きさは、図4からわかるよう に、1100℃でN2O内で200秒間高速熱アニールを行なった後の、基板I Iにおける位置および大きさに非常によく合致している。NOのアニールに引き 続きN2Oのアニールを行なう本発明のプロセスにおける、酸化物のバルク内お よびSiO2とSiとの界面への窒素の組み込みは、先行技術によるN2O内でア ニールされた熱SiO2におけるそれと非常によく似ている。しかしながら、本 発明のプロセスにおいては、N2Oの解離反応からの酸素種が、Si表面に酸化 物層を成長するよう、NOアニール中に形成された窒素を豊富に含む層を透過し なければならないため、その窒素を豊富に含む層は、たとえそれがSIMSのブ ラストスルー現象のためにSIMSによって分解することができなくとも、持ち 上げられて、酸化物層の表面に留まらなければならないと考えられている。結果 として得られる構造は、酸化物および基板内へのボロンの浸透を阻止し、かつ、 改善されたSiと酸化物との界面特性を生み出すために、所望の2ピークを有す る窒素プロファイルを提供する。酸化物のバルクにおける窒素の低濃度は、酸化 物の絶縁破壊特性の劣化を防ぐことができる。 界面により高い窒素濃度が求められる場合には、N2Oアニールに続いてさら にNO雰囲気内でアニールを行なうことにより、界面のピーク窒素濃度を調節す ることができる。 本発明は、極薄酸化物層において好ましい窒素プロファイルを提供するのに加 えて、既存の半導体製造プロセスに容易に組み込むことができ、しかもプロセス のコストを実質的に増すことのない、プロセスの変更を伴う。本発明は、非常に 遅い酸化物成長速度によってプロセスウインドウを狭めることなく、正確に酸化 物厚さを制御できるようにする。また、本発明のプロセスの好ましい実施例は、 いかなる水素種も導入することなく行われ、また、水素によって引き起こされる 電荷のトラッピングの問題も回避する。 本発明の好ましいプロセスは、800℃から1150℃の温度範囲で、大気圧 のNOおよびN2Oでの高速熱処理を利用しているが、これとまったく同じ方法 およびパラメータを使用することは必須条件ではない。他の実施例では、すべて のプロセスに炉アニールを利用することもでき、また、O2や蒸気等の、他の酸 化用雰囲気をN2Oに代えて使用することも可能である。 本発明を好ましい実施例に限定する意図はなく、本発明の範囲は、請求の範囲 に鑑みて解釈されるべきである。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/792 (72)発明者 オウグル・ジュニア,ロバート・ビィ アメリカ合衆国、95124 カリフォルニア 州、サン・ノゼ、ホスタ・レーン、5947 (72)発明者 リスターズ,デリック アメリカ合衆国、78728 テキサス州、オ ースティン、テリス・コウブ、1904

Claims (1)

  1. 【特許請求の範囲】 1.シリコン基板のきれいな表面上に薄い誘電体層を作成するための、集積回路 製造プロセスであって、 アニール室内に前記シリコン基板を設置するステップと、 前記アニール室内に第1の気圧の酸化窒素ガスを供給するステップと、 前記基板を第1の時間期間の間、第1の高温でアニールするステップと、 前記アニール室から前記酸化窒素ガスを取除くステップと、 前記アニール室内に第2の気圧の酸化用ガスを供給するステップと、 前記基板を第2の時間期間の間、第2の高温でアニールするステップとを含み 、 前記ステップは前記シリコン基板の前記きれいな表面上に酸窒化物の誘電体層 を提供し、前記誘電体層は前記シリコン基板との界面を有しかつ前記界面に対向 する表面を有し、前記誘電体層は前記界面近傍に窒素濃度の第1のピークを含み かつ前記表面近傍に窒素濃度の第2のピークを含んで、接触表面から前記誘電体 層を通じるドーパントの拡散を阻止する、プロセス。 2.前記第1の酸化窒素の気圧と、前記第2の酸化用ガスの気圧と、前記第1お よび第2のアニールの温度および時間とを調整して、少なくとも1018窒素atom s/ccの前記第1の窒素濃度ピークを提供し、かつ、少なくとも1018窒素atoms/ ccの前記第2の窒素濃度ピークを提供するステップをさらに含む、請求項1に記 載のプロセス。 3.前記第1および第2のアニールするステップは、高速熱アニールを含む、請 求項1に記載のプロセス。 4.前記酸化用ガスは、酸化窒素、酸素、蒸気、および、酸化窒素と酸素と蒸気 との混合物からなるグループから選択される、請求項1に記載のプロセス。 5.前記酸化用ガスは、酸化窒素である、請求項4に記載のプロセス。 6.前記第1の気圧は10ミリトルから1気圧の間の範囲内であり、前記第1の 高温は摂氏800度から1150度の間の範囲内であり、前記第1の時間期間は 10秒から3000秒の間の範囲内であり、前記第2の気圧は10ミリトルから 1気圧の間の範囲内であり、前記第2の高温は摂氏800度から1150度の間 の範囲内であり、前記第2の時間期間は10秒から3000秒の間の範囲内であ る、請求項5に記載のプロセス。 7.前記第1および第2のアニールのステップは、炉アニールを含む、請求項2 に記載のプロセス。 8.前記第2のアニールのステップに続いて、前記アニール室から前記酸化用ガ スを取除くステップと、 前記アニール室内に第3の気圧の酸化窒素ガスを供給するステップと、 前記基板を第3の時間期間の間、第3の高温でアニールするステップとをさら に含む、請求項1に記載のプロセス。 9.前記第1の酸化窒素の気圧と、前記第2の酸化用ガスの気圧と、前記第1お よび第2のアニールの温度および時間とを調整して、前記第1の窒素濃度ピーク を少なくとも1018窒素atoms/ccで提供しかつ前記第2の窒素濃度ピークを少な くとも1018窒素atoms/ccで提供するステップをさらに含む、請求項8に記載の プロセス。 10.前記第1、第2、および第3のアニールのステップは、高速熱アニールを 含む、請求項8に記載のプロセス。 11.前記酸化用ガスは、酸化窒素、酸素、蒸気、および、酸化窒素と酸素と蒸 気との混合物からなるグループから選択される、請求項8に記載のプロセス。 12.前記酸化用ガスは酸化窒素である、請求項11に記載のプロセス。 13.前記第1の気圧は10ミリトルから1気圧の間の範囲内であり、前記第1 の高温は摂氏800度から1150度の間の範囲内であり、前記第1の時間期間 は10秒から3000秒の間の範囲内であり、前記第2の気圧は10ミリトルか ら1気圧の間の範囲内であり、前記第2の高温は摂氏800度から1150度の 間の範囲内であり、前記第2の時間期間は10秒から3000秒の間の範囲内で ある、請求項12に記載のプロセス。 14.前記第1および第2のアニールのステップは、炉アニールを含む、請求項 9に記載のプロセス。 15.少なくとも1つの集積回路装置を中に有するシリコン半導体ウェハであっ て、前記装置はシリコン基板上に薄い誘電体層を有し、前記誘電体層は、 アニール室内に露出したきれいなシリコン表面を有する前記シリコン基板を設 置するステップと、 前記アニール室内に第1の気圧の酸化窒素ガスを供給するステップと、 前記基板を第1の時間期間の間、第1の高温でアニールするステップと、 前記アニール室から前記酸化窒素ガスを取除くステップと、 前記アニール室内に第2の気圧の酸化用ガスを供給するステップと、 前記基板を第2の時間期間の間、第2の高温でアニールして、前記基板上にシ リコン酸窒化物(SiOxy)の誘電体層を提供するステップとを含む方法によ って作成され、前記SiOxyの誘電体層は前記シリコン基板との界面を有しか つ前記界面に対向する表面を有し、前記SiOxyの誘電体層は前記界面近傍に 窒素濃度の第1のピークを、前記表面近傍に窒素濃度の第2のピークを含む、ウ ェハ。 16.前記作成方法は、 前記第1の酸化窒素の気圧と、前記第2の酸化用ガスの気圧と、前記第1およ び第2のアニールの温度および時間とを調節して、前記第1の窒素濃度ピークを 少なくとも1018窒素atoms/ccで提供しかつ前記第2の窒素濃度ピークを少なく とも1018窒素atoms/ccで提供するステップをさらに含む、請求項15に記載の 集積回路。 17.前記酸化用ガスは、酸化窒素、酸素、蒸気、および、酸化窒素と酸素と蒸 気との混合物からなるグループから選択される、請求項15に記載の集積回路。 18.前記酸化用ガスは酸化窒素である、請求項17に記載の集積回路。 19.前記作成方法は、 前記第2のアニールのステップに続いて、前記アニール室から前記酸化用ガス を取除くステップと、 前記アニール室内に第3の気圧の酸化窒素ガスを供給するステップと、 前記基板を第3の時間期間の間、第3の高温でアニールするステップとをさら に含む、請求項15に記載の集積回路。 20.前記酸化用ガスは、酸化窒素、酸素、蒸気、および、酸化窒素と酸素と蒸 気との混合物からなるグループから選択される、請求項19に記載の集積回路。 21.前記酸化用ガスは酸化窒素である、請求項20に記載の集積回路。 22.シリコンウェハ内の集積回路装置であって、 前記シリコンウェハの一部分を含み、前記部分はある濃度のアクセプタまたは ドナー原子を中に有してn型またはp型の半導体特性を提供し、さらに、 前記シリコンウェハの前記部分と密接する界面および離れた表面を有する成長 されたシリコン酸窒化物層と、 導電性電極とを含み、前記導電性電極は前記シリコン酸窒化物層の前記離れた 表面部分と密接に接触し、 前記シリコン酸窒化物層は前記界面と前記離れた表面との双方に近接して窒素 濃度のピークを有し、かつ、前記ピーク間にバルクの窒素濃度を有し、前記シリ コン酸窒化物は前記シリコンウェハの前記部分と前記導電性電極との双方よりも 実質的に低い濃度のアクセプタまたはドナー原子を有し、前記シリコン酸窒化物 層はその中に1018atoms/ccより低い水素原子濃度を有し、前記シリコン酸窒化 物層における前記バルクの窒素濃度は1018atoms/ccより低く、前記界面に近接 する前記窒素ピーク濃度は1018よりも高く、前記離れた表面に近接する前記窒 素ピーク濃度は1018よりも高い、集積回路装置。 23.前記導電性電極ぱ濃くドープされたポリシリコンである、請求項22に記 載の集積回路装置。
JP51261498A 1996-09-05 1997-03-25 信頼できる極薄酸窒化物形成のための新規なプロセス Expired - Fee Related JP3976282B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/708,428 1996-09-05
US08/708,428 US5939763A (en) 1996-09-05 1996-09-05 Ultrathin oxynitride structure and process for VLSI applications
PCT/US1997/004986 WO1998010464A1 (en) 1996-09-05 1997-03-25 A novel process for reliable ultra-thin oxynitride formation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007107348A Division JP2007258729A (ja) 1996-09-05 2007-04-16 信頼できる極薄酸窒化物形成のための新規なプロセス

Publications (2)

Publication Number Publication Date
JP2001502115A true JP2001502115A (ja) 2001-02-13
JP3976282B2 JP3976282B2 (ja) 2007-09-12

Family

ID=24845767

Family Applications (2)

Application Number Title Priority Date Filing Date
JP51261498A Expired - Fee Related JP3976282B2 (ja) 1996-09-05 1997-03-25 信頼できる極薄酸窒化物形成のための新規なプロセス
JP2007107348A Withdrawn JP2007258729A (ja) 1996-09-05 2007-04-16 信頼できる極薄酸窒化物形成のための新規なプロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2007107348A Withdrawn JP2007258729A (ja) 1996-09-05 2007-04-16 信頼できる極薄酸窒化物形成のための新規なプロセス

Country Status (6)

Country Link
US (2) US5939763A (ja)
EP (1) EP0928497B1 (ja)
JP (2) JP3976282B2 (ja)
KR (1) KR100437651B1 (ja)
DE (1) DE69738821D1 (ja)
WO (1) WO1998010464A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002353343A (ja) * 2001-05-29 2002-12-06 Nec Corp 半導体装置およびその製造方法
JP2009049196A (ja) * 2007-08-20 2009-03-05 Fujitsu Microelectronics Ltd 酸窒化処理装置及び方法、並びに半導体装置の製造方法
JP2009532915A (ja) * 2006-04-03 2009-09-10 アプライド マテリアルズ インコーポレイテッド 複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040249A (en) * 1996-08-12 2000-03-21 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
EP0844668A3 (en) * 1996-11-25 1999-02-03 Matsushita Electronics Corporation MOS structure of semiconductor device and method of manufacturing the same
TW367612B (en) * 1996-12-26 1999-08-21 Hitachi Ltd Semiconductor device having nonvolatile memory and method of manufacture thereof
JPH10256539A (ja) * 1997-03-10 1998-09-25 Fujitsu Ltd 半導体装置及びその製造方法
WO1998045877A1 (en) * 1997-04-07 1998-10-15 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device having 'shallow trench isolation'
US6051511A (en) 1997-07-31 2000-04-18 Micron Technology, Inc. Method and apparatus for reducing isolation stress in integrated circuits
US6566281B1 (en) * 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
JPH11204787A (ja) * 1998-01-14 1999-07-30 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6972436B2 (en) * 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
US6087236A (en) * 1998-11-24 2000-07-11 Intel Corporation Integrated circuit with multiple gate dielectric structures
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6303047B1 (en) 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6432773B1 (en) * 1999-04-08 2002-08-13 Microchip Technology Incorporated Memory cell having an ONO film with an ONO sidewall and method of fabricating same
US6232658B1 (en) * 1999-06-30 2001-05-15 Lsi Logic Corporation Process to prevent stress cracking of dielectric films on semiconductor wafers
US6433383B1 (en) * 1999-07-20 2002-08-13 Advanced Micro Devices, Inc. Methods and arrangements for forming a single interpoly dielectric layer in a semiconductor device
JP3538081B2 (ja) 1999-08-24 2004-06-14 松下電器産業株式会社 半導体装置の製造方法
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6248628B1 (en) * 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6784485B1 (en) * 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6670695B1 (en) * 2000-02-29 2003-12-30 United Microelectronics Corp. Method of manufacturing anti-reflection layer
KR100833406B1 (ko) * 2000-03-13 2008-05-28 다다히로 오미 플래시 메모리 소자 및 그 제조 방법, 유전체막의 형성 방법
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
DE10029286C2 (de) 2000-06-14 2003-10-02 Infineon Technologies Ag Verfahren zur Überwachung von Stickstoffprozessen
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6362085B1 (en) 2000-07-19 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
US6417082B1 (en) * 2000-08-30 2002-07-09 Advanced Micro Devices, Inc. Semiconductor structure
TW531803B (en) * 2000-08-31 2003-05-11 Agere Syst Guardian Corp Electronic circuit structure with improved dielectric properties
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6492240B1 (en) * 2000-09-14 2002-12-10 United Microelectronics Corp. Method for forming improved high resistance resistor by treating the surface of polysilicon layer
DE60143541D1 (de) * 2000-09-19 2011-01-05 Mattson Tech Inc Verfahren zur ausbildung dielektrischer filme
US6534388B1 (en) * 2000-09-27 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Method to reduce variation in LDD series resistance
US7067176B2 (en) 2000-10-03 2006-06-27 Cree, Inc. Method of fabricating an oxide layer on a silicon carbide layer utilizing an anneal in a hydrogen environment
US6956238B2 (en) 2000-10-03 2005-10-18 Cree, Inc. Silicon carbide power metal-oxide semiconductor field effect transistors having a shorting channel and methods of fabricating silicon carbide metal-oxide semiconductor field effect transistors having a shorting channel
US6610366B2 (en) * 2000-10-03 2003-08-26 Cree, Inc. Method of N2O annealing an oxide layer on a silicon carbide layer
US6767843B2 (en) 2000-10-03 2004-07-27 Cree, Inc. Method of N2O growth of an oxide layer on a silicon carbide layer
US6750157B1 (en) * 2000-10-12 2004-06-15 Advanced Micro Devices, Inc. Nonvolatile memory cell with a nitridated oxide layer
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100490293B1 (ko) * 2000-12-08 2005-05-17 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조 방법
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US20020187651A1 (en) * 2001-06-11 2002-12-12 Reid Kimberly G. Method for making a semiconductor device
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6642156B2 (en) 2001-08-01 2003-11-04 International Business Machines Corporation Method for forming heavy nitrogen-doped ultra thin oxynitride gate dielectrics
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US6639228B2 (en) * 2001-08-28 2003-10-28 Promos Technologies Inc. Method for molecular nitrogen implantation dosage monitoring
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US7129128B2 (en) * 2001-08-29 2006-10-31 Micron Technology, Inc. Method of improved high K dielectric-polysilicon interface for CMOS devices
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
DE10207122B4 (de) 2002-02-20 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Ein Verfahren zur Herstellung von Schichten aus Oxid auf einer Oberfläche eines Substrats
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6706644B2 (en) 2002-07-26 2004-03-16 International Business Machines Corporation Thermal nitrogen distribution method to improve uniformity of highly doped ultra-thin gate capacitors
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7902029B2 (en) * 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7022378B2 (en) * 2002-08-30 2006-04-04 Cree, Inc. Nitrogen passivation of interface states in SiO2/SiC structures
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7221010B2 (en) 2002-12-20 2007-05-22 Cree, Inc. Vertical JFET limited silicon carbide power metal-oxide semiconductor field effect transistors
WO2004070796A2 (en) * 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3866667B2 (ja) * 2003-02-26 2007-01-10 株式会社東芝 半導体装置の製造方法
JP4748927B2 (ja) 2003-03-25 2011-08-17 ローム株式会社 半導体装置
US7074643B2 (en) * 2003-04-24 2006-07-11 Cree, Inc. Silicon carbide power devices with self-aligned source and well regions and methods of fabricating same
US6979863B2 (en) * 2003-04-24 2005-12-27 Cree, Inc. Silicon carbide MOSFETs with integrated antiparallel junction barrier Schottky free wheeling diodes and methods of fabricating the same
KR20050004676A (ko) * 2003-07-03 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 트랜지스터 제조 방법
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
US7138691B2 (en) * 2004-01-22 2006-11-21 International Business Machines Corporation Selective nitridation of gate oxides
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP2006332209A (ja) * 2005-05-24 2006-12-07 Sharp Corp 薄膜トランジスタ基板及びその製造方法
US20070010103A1 (en) * 2005-07-11 2007-01-11 Applied Materials, Inc. Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
US7727904B2 (en) 2005-09-16 2010-06-01 Cree, Inc. Methods of forming SiC MOSFETs with high inversion layer mobility
US20070090493A1 (en) * 2005-10-11 2007-04-26 Promos Technologies Inc. Fabrication of nitrogen containing regions on silicon containing regions in integrated circuits, and integrated circuits obtained thereby
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
US7635655B2 (en) * 2006-03-30 2009-12-22 Tokyo Electron Limited Method for replacing a nitrous oxide based oxidation process with a nitric oxide based oxidation process for substrate processing
JP4974585B2 (ja) * 2006-05-17 2012-07-11 東京エレクトロン株式会社 窒素濃度の測定方法、シリコン酸窒化膜の形成方法および半導体装置の製造方法
KR101432766B1 (ko) * 2006-05-26 2014-08-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작방법
US7396776B2 (en) * 2006-07-10 2008-07-08 International Business Machines Corporation Semiconductor-on-insulator (SOI) structures including gradient nitrided buried oxide (BOX)
US7910420B1 (en) * 2006-07-13 2011-03-22 National Semiconductor Corporation System and method for improving CMOS compatible non volatile memory retention reliability
US7728402B2 (en) 2006-08-01 2010-06-01 Cree, Inc. Semiconductor devices including schottky diodes with controlled breakdown
US8432012B2 (en) 2006-08-01 2013-04-30 Cree, Inc. Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
EP2631951B1 (en) 2006-08-17 2017-10-11 Cree, Inc. High power insulated gate bipolar transistors
US8835987B2 (en) 2007-02-27 2014-09-16 Cree, Inc. Insulated gate bipolar transistors including current suppressing layers
US7534731B2 (en) * 2007-03-30 2009-05-19 Tokyo Electron Limited Method for growing a thin oxynitride film on a substrate
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
US20090061608A1 (en) * 2007-08-29 2009-03-05 Merchant Tushar P Method of forming a semiconductor device having a silicon dioxide layer
US7659214B2 (en) * 2007-09-30 2010-02-09 Tokyo Electron Limited Method for growing an oxynitride film on a substrate
KR100933835B1 (ko) * 2007-11-12 2009-12-24 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
JP5119904B2 (ja) * 2007-12-20 2013-01-16 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5679622B2 (ja) 2008-01-31 2015-03-04 株式会社東芝 絶縁膜、およびこれを用いた半導体装置
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
US8232558B2 (en) 2008-05-21 2012-07-31 Cree, Inc. Junction barrier Schottky diodes with current surge capability
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US8288220B2 (en) * 2009-03-27 2012-10-16 Cree, Inc. Methods of forming semiconductor devices including epitaxial layers and related structures
US8501610B2 (en) * 2009-04-28 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memories and methods of fabrication thereof
US8294507B2 (en) 2009-05-08 2012-10-23 Cree, Inc. Wide bandgap bipolar turn-off thyristor having non-negative temperature coefficient and related control circuits
US8193848B2 (en) 2009-06-02 2012-06-05 Cree, Inc. Power switching devices having controllable surge current capabilities
US8629509B2 (en) 2009-06-02 2014-01-14 Cree, Inc. High voltage insulated gate bipolar transistors with minority carrier diverter
US8541787B2 (en) 2009-07-15 2013-09-24 Cree, Inc. High breakdown voltage wide band-gap MOS-gated bipolar junction transistors with avalanche capability
US8354690B2 (en) 2009-08-31 2013-01-15 Cree, Inc. Solid-state pinch off thyristor circuits
US9117739B2 (en) 2010-03-08 2015-08-25 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
US8415671B2 (en) 2010-04-16 2013-04-09 Cree, Inc. Wide band-gap MOSFETs having a heterojunction under gate trenches thereof and related methods of forming such devices
US8564063B2 (en) 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8263501B2 (en) 2010-12-15 2012-09-11 United Microelectronics Corp. Silicon dioxide film fabricating process
US8643101B2 (en) 2011-04-20 2014-02-04 United Microelectronics Corp. High voltage metal oxide semiconductor device having a multi-segment isolation structure
US9029945B2 (en) 2011-05-06 2015-05-12 Cree, Inc. Field effect transistor devices with low source resistance
US9142662B2 (en) 2011-05-06 2015-09-22 Cree, Inc. Field effect transistor devices with low source resistance
US8581338B2 (en) 2011-05-12 2013-11-12 United Microelectronics Corp. Lateral-diffused metal oxide semiconductor device (LDMOS) and fabrication method thereof
US8501603B2 (en) 2011-06-15 2013-08-06 United Microelectronics Corp. Method for fabricating high voltage transistor
US8592905B2 (en) 2011-06-26 2013-11-26 United Microelectronics Corp. High-voltage semiconductor device
US8394688B2 (en) 2011-06-27 2013-03-12 United Microelectronics Corp. Process for forming repair layer and MOS transistor having repair layer
US9984894B2 (en) 2011-08-03 2018-05-29 Cree, Inc. Forming SiC MOSFETs with high channel mobility by treating the oxide interface with cesium ions
US20130043513A1 (en) 2011-08-19 2013-02-21 United Microelectronics Corporation Shallow trench isolation structure and fabricating method thereof
US8729599B2 (en) 2011-08-22 2014-05-20 United Microelectronics Corp. Semiconductor device
US8921937B2 (en) 2011-08-24 2014-12-30 United Microelectronics Corp. High voltage metal-oxide-semiconductor transistor device and method of fabricating the same
US8664665B2 (en) 2011-09-11 2014-03-04 Cree, Inc. Schottky diode employing recesses for elements of junction barrier array
US8618582B2 (en) 2011-09-11 2013-12-31 Cree, Inc. Edge termination structure employing recesses for edge termination elements
US9373617B2 (en) 2011-09-11 2016-06-21 Cree, Inc. High current, low switching loss SiC power module
WO2013036370A1 (en) 2011-09-11 2013-03-14 Cree, Inc. High current density power module comprising transistors with improved layout
US9640617B2 (en) 2011-09-11 2017-05-02 Cree, Inc. High performance power module
US8680587B2 (en) 2011-09-11 2014-03-25 Cree, Inc. Schottky diode
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8742498B2 (en) 2011-11-03 2014-06-03 United Microelectronics Corp. High voltage semiconductor device and fabricating method thereof
US8482063B2 (en) 2011-11-18 2013-07-09 United Microelectronics Corporation High voltage semiconductor device
US8587058B2 (en) 2012-01-02 2013-11-19 United Microelectronics Corp. Lateral diffused metal-oxide-semiconductor device
US8492835B1 (en) 2012-01-20 2013-07-23 United Microelectronics Corporation High voltage MOSFET device
US9093296B2 (en) 2012-02-09 2015-07-28 United Microelectronics Corp. LDMOS transistor having trench structures extending to a buried layer
TWI523196B (zh) 2012-02-24 2016-02-21 聯華電子股份有限公司 高壓金氧半導體電晶體元件及其佈局圖案
US8890144B2 (en) 2012-03-08 2014-11-18 United Microelectronics Corp. High voltage semiconductor device
US9236471B2 (en) 2012-04-24 2016-01-12 United Microelectronics Corp. Semiconductor structure and method for manufacturing the same
US9159791B2 (en) 2012-06-06 2015-10-13 United Microelectronics Corp. Semiconductor device comprising a conductive region
US8836067B2 (en) 2012-06-18 2014-09-16 United Microelectronics Corp. Transistor device and manufacturing method thereof
US8674441B2 (en) 2012-07-09 2014-03-18 United Microelectronics Corp. High voltage metal-oxide-semiconductor transistor device
US8643104B1 (en) 2012-08-14 2014-02-04 United Microelectronics Corp. Lateral diffusion metal oxide semiconductor transistor structure
US8729631B2 (en) 2012-08-28 2014-05-20 United Microelectronics Corp. MOS transistor
US9196717B2 (en) 2012-09-28 2015-11-24 United Microelectronics Corp. High voltage metal-oxide-semiconductor transistor device
US8829611B2 (en) 2012-09-28 2014-09-09 United Microelectronics Corp. High voltage metal-oxide-semiconductor transistor device
US8704304B1 (en) 2012-10-05 2014-04-22 United Microelectronics Corp. Semiconductor structure
US20140110777A1 (en) 2012-10-18 2014-04-24 United Microelectronics Corp. Trench gate metal oxide semiconductor field effect transistor and fabricating method thereof
US9224857B2 (en) 2012-11-12 2015-12-29 United Microelectronics Corp. Semiconductor structure and method for manufacturing the same
US9634083B2 (en) 2012-12-10 2017-04-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9330901B2 (en) 2013-03-01 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen-containing oxide film and method of forming the same
US9035425B2 (en) 2013-05-02 2015-05-19 United Microelectronics Corp. Semiconductor integrated circuit
US8896057B1 (en) 2013-05-14 2014-11-25 United Microelectronics Corp. Semiconductor structure and method for manufacturing the same
US8786362B1 (en) 2013-06-04 2014-07-22 United Microelectronics Corporation Schottky diode having current leakage protection structure and current leakage protecting method of the same
US8941175B2 (en) 2013-06-17 2015-01-27 United Microelectronics Corp. Power array with staggered arrangement for improving on-resistance and safe operating area
US9136375B2 (en) 2013-11-21 2015-09-15 United Microelectronics Corp. Semiconductor structure
US9490360B2 (en) 2014-02-19 2016-11-08 United Microelectronics Corp. Semiconductor device and operating method thereof
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2967538D1 (en) * 1978-06-14 1985-12-05 Fujitsu Ltd Process for producing a semiconductor device having an insulating layer of silicon dioxide covered by a film of silicon oxynitride
JPS63184340A (ja) * 1986-09-08 1988-07-29 Nec Corp 半導体装置
US5254506A (en) * 1988-12-20 1993-10-19 Matsushita Electric Industrial Co., Ltd. Method for the production of silicon oxynitride film where the nitrogen concentration at the wafer-oxynitride interface is 8 atomic precent or less
JP2652108B2 (ja) * 1991-09-05 1997-09-10 三菱電機株式会社 電界効果トランジスタおよびその製造方法
US5250456A (en) * 1991-09-13 1993-10-05 Sgs-Thomson Microelectronics, Inc. Method of forming an integrated circuit capacitor dielectric and a capacitor formed thereby
EP0617461B1 (en) * 1993-03-24 1997-09-10 AT&T Corp. Oxynitride dielectric process for IC manufacture
US5407870A (en) * 1993-06-07 1995-04-18 Motorola Inc. Process for fabricating a semiconductor device having a high reliability dielectric material
JP3236706B2 (ja) * 1993-07-30 2001-12-10 三菱電機株式会社 不揮発性半導体記憶装置およびその製造方法
US5596218A (en) * 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5397720A (en) * 1994-01-07 1995-03-14 The Regents Of The University Of Texas System Method of making MOS transistor having improved oxynitride dielectric
JPH07335876A (ja) * 1994-06-10 1995-12-22 Sony Corp ゲート絶縁膜の形成方法
US5508532A (en) * 1994-06-16 1996-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with braded silicon nitride
US5674788A (en) * 1995-06-06 1997-10-07 Advanced Micro Devices, Inc. Method of forming high pressure silicon oxynitride gate dielectrics
US5650344A (en) * 1995-07-17 1997-07-22 Harris Corporation Method of making non-uniformly nitrided gate oxide
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
TW346666B (en) * 1997-10-29 1998-12-01 United Microelectronics Corp Process for producing dielectric layer in an integrated circuit

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002353343A (ja) * 2001-05-29 2002-12-06 Nec Corp 半導体装置およびその製造方法
JP4594554B2 (ja) * 2001-05-29 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2009532915A (ja) * 2006-04-03 2009-09-10 アプライド マテリアルズ インコーポレイテッド 複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成
JP2009049196A (ja) * 2007-08-20 2009-03-05 Fujitsu Microelectronics Ltd 酸窒化処理装置及び方法、並びに半導体装置の製造方法

Also Published As

Publication number Publication date
KR100437651B1 (ko) 2004-06-26
US5939763A (en) 1999-08-17
WO1998010464A1 (en) 1998-03-12
KR20000035980A (ko) 2000-06-26
DE69738821D1 (de) 2008-08-21
US6245689B1 (en) 2001-06-12
JP3976282B2 (ja) 2007-09-12
EP0928497A1 (en) 1999-07-14
EP0928497B1 (en) 2008-07-09
JP2007258729A (ja) 2007-10-04

Similar Documents

Publication Publication Date Title
JP3976282B2 (ja) 信頼できる極薄酸窒化物形成のための新規なプロセス
EP0617461B1 (en) Oxynitride dielectric process for IC manufacture
US8575677B2 (en) Semiconductor device and its manufacturing method
US5464792A (en) Process to incorporate nitrogen at an interface of a dielectric layer in a semiconductor device
US5880040A (en) Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5397720A (en) Method of making MOS transistor having improved oxynitride dielectric
US6566281B1 (en) Nitrogen-rich barrier layer and structures formed
US5712208A (en) Methods of formation of semiconductor composite gate dielectric having multiple incorporated atomic dopants
EP0690487B1 (en) Methods for forming oxide films
US7658973B2 (en) Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
US5258333A (en) Composite dielectric for a semiconductor device and method of fabrication
US5571734A (en) Method for forming a fluorinated nitrogen containing dielectric
US6228779B1 (en) Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US20080233692A1 (en) Method and System for Forming a Controllable Gate Oxide
US6051865A (en) Transistor having a barrier layer below a high permittivity gate dielectric
JP3593340B2 (ja) 集積回路デバイスの製造方法
US6767847B1 (en) Method of forming a silicon nitride-silicon dioxide gate stack
US6180543B1 (en) Method of generating two nitrogen concentration peak profiles in gate oxide
JP2001135735A (ja) 不揮発性半導体装置の製造方法
JP2002151684A (ja) 半導体装置及びその製造方法
US6670242B1 (en) Method for making an integrated circuit device including a graded, grown, high quality gate oxide layer and a nitride layer
Lin et al. A comprehensive study of suppression of boron penetration by amorphous-Si gate in P/sup+/-gate PMOS devices
Ko et al. The effect of nitrogen incorporation into the gate oxide by using shallow implantation of nitrogen and drive-in process
KR100247904B1 (ko) 반도체 장치의 제조방법
US20050064109A1 (en) Method of forming an ultrathin nitride/oxide stack as a gate dielectric

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050510

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061017

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070116

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070305

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070612

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070618

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100629

Year of fee payment: 3

R154 Certificate of patent or utility model (reissue)

Free format text: JAPANESE INTERMEDIATE CODE: R154

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100629

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100629

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100629

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110629

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110629

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120629

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130629

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130629

Year of fee payment: 6

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130629

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees