JP2009532915A - 複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成 - Google Patents

複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成 Download PDF

Info

Publication number
JP2009532915A
JP2009532915A JP2009504392A JP2009504392A JP2009532915A JP 2009532915 A JP2009532915 A JP 2009532915A JP 2009504392 A JP2009504392 A JP 2009504392A JP 2009504392 A JP2009504392 A JP 2009504392A JP 2009532915 A JP2009532915 A JP 2009532915A
Authority
JP
Japan
Prior art keywords
annealing
silicon oxynitride
oxynitride film
millitorr
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009504392A
Other languages
English (en)
Other versions
JP2009532915A5 (ja
JP5105627B2 (ja
Inventor
クリストファー, エス. オルセン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009532915A publication Critical patent/JP2009532915A/ja
Publication of JP2009532915A5 publication Critical patent/JP2009532915A5/ja
Application granted granted Critical
Publication of JP5105627B2 publication Critical patent/JP5105627B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

チャンバ内で半導体基板を処理する方法は、二ステップアニールプロセスを用いて酸窒化シリコン膜を形成するステップを含む。第一アニールステップは、分圧が約1〜約100ミリトールである酸化ガスの存在下に酸窒化シリコン膜をアニールする工程を含み、第二アニールステップは、流量が約1slmである酸素ガスで酸窒化シリコン膜をアニールする工程を含む。第一アニールステップは、第二アニールステップより高いチャンバ温度と高いチャンバ圧で行われる。
【選択図】 図2

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、酸窒化シリコン膜を形成することを含む半導体処理に関する。より詳細には、本発明は、プラズマ窒化物形成と二つのステップのアニールプロセスを用いた酸窒化シリコン膜を形成する方法に関する。
関連技術の説明
[0002]集積回路やトランジスタのデバイスの形が縮小するにつれて、トランジスタが必要とするゲート駆動電流に増加してきた。ゲート静電容量が増加するにつれて、トランジスタのゲート駆動電流も増加すること、また、トランジスタのゲート静電容量が、kA/d(ここで、kは(通常は酸化シリコンである)ゲート誘電体の誘電率であり、dは誘電体の厚さであり、Aはゲートコンタクト面積である)に等しいことは、既知である。従って、ゲート誘電体の誘電体の厚さを減少させることと誘電率を増加させることが、ゲート静電容量と駆動電流を増加させる二つの方法である。
[0003]誘電体の厚さを減少させる、例えば、二酸化シリコン(SiO)誘電体の厚さを20オングストローム未満に減少させる試みがなされてきた。しかしながら、厚さが20オングストローム未満のSiO誘電体の使用は、望ましくない性能や耐久性の減少がしばしば生じる。例えば、ホウ素ドープした電極からのホウ素は、薄いSiO誘電体を通って下に横たわるシリコン基板へ浸透することができる。更に、典型的にはゲート漏れ電流、即ち、トンネリング電流の望ましくない増加があり、ゲートによって消費される量の電力が増加する。薄いSiOゲート誘電体もまた、負チャネル金属酸化膜半導体(NMOS)ホットキャリア劣化や正チャネル金属酸化膜半導体(PMOS)負バイアス温度不安定性(NBTI)の影響を受けやすくなる。
[0004]SiO層の窒化物形成は、SiO誘電体層の厚さを20オングストローム未満に減少させる方法として使われてきた。プラズマ窒化物形成を用いて窒素をゲート酸化物に取り込む。窒化物形成は、電極/酸化物接合部で高窒素濃度を示す。接合部での高窒素濃度は、ゲート酸化物へのホウ素の浸透を防止する。一方、バルクゲート酸化物誘電体は、プラズマ窒化プロセス中に窒素で容易にドープされる。バルクの低窒素濃度は、結果として出発酸化物より膜換算膜厚(EOT)の低い膜になり、それによってゲート漏れが減少する。EOT<12オングストロームを有する誘電体を与えることが望ましい。
[0005]窒化物形成後の酸窒化シリコンのアニールは、チャネル移動度を改善するが、従来のアニールした酸窒化シリコン膜のピーク相互コンダクタンス測定を行うことによって見られるようにEOTを犠牲にして増加する。チャネル移動度は、より大きいEOT厚さより小さいEOT厚さで低下する。また、より大きいEOTは、従来のアニールした酸窒化シリコン膜の駆動電流を減少させる。従って、チャネル移動度、駆動電流、EOTが望ましい膜を与えるアニールプロセスが求められている。
発明の概要
[0006]本発明は、所望のチャネル移動度と駆動電流を有する酸窒化シリコン薄層を形成する方法を提供する。本発明の実施形態の方法は、酸素、亜酸化窒素、又は一酸化窒素であり得る1〜100ミリトールの酸化ガスで酸窒化シリコン膜をアニールするステップと、約1slmの酸素で酸窒化シリコン膜をアニールするステップとを含む。1〜100ミリトールの酸化ガスで酸窒化シリコン膜をアニールするステップは、約1slmの酸素で酸窒化シリコン膜をアニールするステップより高温と高圧で行われる。
[0007]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、一部が添付の図面に示されている実施形態によって参照することができる。しかしながら、添付の図面が本発明の典型的な実施形態のみを示し、それ故、本発明の範囲を制限するものとしてみなされず、本発明が他の等しく有効な実施形態を許容することができることは留意すべきである。
詳細な説明
[0011]図1は、本発明の種々の実施形態に従って半導体基板を処理する統合ツール100の概略図である。統合ツール100の一例は、カリフォルニア州サンタクララのAppliedMaterials社からのGATE STACK CENTURATM統合ツールである。統合ツール100は、ロードロックチャンバ102と104と、急速加熱アニール或いはプロセス(RTP)チャンバ106と108と、デカップルドプラズマ窒化(DPN)チャンバ110と、堆積チャンバ112と、冷却チャンバ114とを含む。統合ツール100は、また、具体的なプロセスチャンバ内外へ基板118を搬送するために用いられる基板処理ツール116を含む。
[0012]基板処理ツール116は、周囲のチャンバのそれぞれと連通して中央の搬送チャンバ内に位置する。ロードロックチャンバ102と104は、処理される基板を収容する。堆積チャンバ112は、半導体基板上に膜或いは層を形成するために使用し得る化学或いは物理気相堆積チャンバである。
[0013]RTPチャンバ106と108は、減圧、例えば、約10トール以下で急速加熱処理を行うように構成され得るチャンバである。許容し得るRTPチャンバとしては、カリフォルニア州サンタクララのAppliedMaterials社から市販されているRADIANCE XETM、RADIANCE XEPLUSTM、及びRADIANCETMRTPチャンバが挙げられる。
[0014]図2は、アニールした酸窒化シリコン膜を形成するプロセス200のフローチャートである。最初に、基板を処理して、基板の表面上に酸化シリコン層を形成する。次に、酸化シリコンを処理して、ステップ202中に窒化物形成、例えば、熱窒化物形成或いはプラズマ窒化物形成で酸窒化シリコン層を形成する。プラズマ窒化物形成を用いた酸窒化シリコンの形成は、2003年6月13日出願の米国特許出願第10/461,143
号に開示されており、この開示内容は本明細書に援用されている。
[0015]酸窒化シリコン層が形成された後、酸窒化シリコン層は二ステップアニールプロセスを受ける。アニールプロセスの第一ステップ204は、約700℃以上の温度で約100ミリトール〜約800トールのチャンバ圧で約1〜120秒間で行われる。アニールプロセスの第一ステップ204は、不活性ガス、還元ガス、酸化ガス、又はそれらの組み合わせのガスで行われる。不活性ガスとしては、窒素、ヘリウム、及びアルゴンが挙げられる。還元ガスとしては、水素が挙げられる。酸化ガスとしては、酸素、亜酸化窒素、一酸化窒素、及びオゾンが挙げられる。組み合わせのガスには、窒素と酸素が含まれる。
[0016]分圧で示される酸素の流量は、酸素分圧であり、チャンバへのガス流の残部は、一部の他のガス、例えば、窒素である。酸素が供給される場合、酸素の流量は1〜100ミリトールの酸素分圧を与えるように選択するべきである。第一アニールステップが約1000℃で行われる場合には、酸素分圧は、好ましくは約1〜15ミリトールの酸素である。第一アニールステップが約1050℃で行われる場合には、酸素分圧は、好ましくは約10〜約50ミリトールの酸素である。第一アニールステップが約1100℃で行われる場合には、酸素分圧は、好ましくは約75〜200ミリトールである。第一アニールス
テップで酸素を添加しすぎると、過剰酸化が引き起こされるために望ましくない。
[0017]3種類の異なる熱アニール温度で窒素と酸素の種々の流量のいくつかの組み合わせを用いて形成した膜の膜特性を比較するために、ゲート電流密度の関数として飽和駆動電流を実測した。第一アニールステップが1050℃で行われた場合、飽和駆動電流は約10〜約50ミリトールの酸素を用いたプロセスについて100mA/mmのゲート電流密度で最大であった。
[0018]アニールプロセスの第二ステップ206は、約10ミリトール〜約100ミリトールの減圧で約900℃〜約1100℃で行われる。第二アニールステップ206は、約1〜約120秒間行われる。第二ステップ206を制御して、酸窒化シリコンEOTの増加を0.1〜約2オングストロームにする。酸素ガス或いは他の酸化ガスを、RTPチャ
ンバに導入してもよい。酸化ガスとしては、酸素、亜酸化窒素、一酸化窒素、及びオゾンが挙げられる。好適な第二アニールステップ206において、酸素は、約15秒間、酸素分圧が約0.5トール〜3.0トールになる流量でチャンバへ流される。一例として、約1slmの流量を用いて、この範囲内の酸素分圧を得ることができる。
[0019]第二アニールステップ206後、ステップ208で統合ツールの他のチャンバ内で酸窒化シリコンの表面上にキャッピング層を形成してもよい。追加の処理をツール内で行ってもよく、基板を他のツールに搬送してもよい。
[0020]図3は、本発明の実施形態のプロセスを含む、種々のプロセス条件で形成された膜の有効な酸化物厚さの関数として飽和駆動電流のプロットを示す図である。白い丸は、1000℃において0.5トールの酸素で15秒間を行った一ステップのアニールを示し
(窒素はアニールステップのそれぞれの残部である)、黒い丸は、1000℃において窒素で15秒間と0.5トールの酸素で15秒間の第二アニールステップを示す。黒い三角
は、1000℃において窒素で45秒間行った第一アニールステップと0.5トールの酸
素で15秒間の第二アニールステップを示し、黒い四角は、950℃において窒素で45秒間行った第一アニールステップと0.5トールの酸素で15秒間の第二アニールステッ
プを示す。これらの結果によれば、本発明の実施形態の二ステッププロセスは、一ステップアニールプロセスより良好である。酸素と窒素の双方が二ステップアニールプロセスの第一アニールステップにおいて用いることが好ましい。しかしながら、このことは好適実施形態であり、他の実施形態も本発明の範囲内であり得ることは理解される。
[0021]本発明の実施形態の種々のプロセス条件で形成された膜について温度の関数として酸素の分圧を実測した。第一アニールステップにおいて酸素で形成された多くのデバイスは、1000℃、1050℃、1100℃での第一アニールステップにおいて酸素を含まないよりも許容し得るものであった。これらの結果は、より高い温度で実施される第一アニールステップで酸素を添加することにより、このようなアニールステップで酸素を添加しないのに比べて、より欠陥のないデバイスになることを示している。
[0022]上記は本発明の実施形態に関するものであるが、本発明の他の多く実施形態が本発明の基本的な範囲から逸脱することなく構成されてもよく、本発明の範囲は以下の特許請求の範囲によって決定される。
図1は、半導体基板を処理するための統合ツールの概略図である。 図2は、アニールした酸窒化シリコン膜を形成するプロセスステップのフローチャートである。 図3は、二つのステップアニールプロセスの双方のステップにおいて酸素を含む、種々のプロセス条件で形成した膜の有効な酸化物厚さの関数としての飽和駆動電流のプロットを示すグラフである。
符号の説明
100…統合ツール、102…ロードロックチャンバ、104…ロードロックチャンバ、106…急速熱アニール或いはプロセスチャンバ、108…急速熱アニール或いはプロセスチャンバ、110…デカップルドプラズマ窒化物形成チャンバ、112…堆積チャンバ、114…冷却チャンバ、116…基板処理ツール、118…基板。

Claims (20)

  1. 半導体基板を処理する方法であって、
    酸窒化シリコン膜を形成するステップと;
    分圧が約1ミリトール〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールするステップと;
    分圧が約0.5トール〜約3.0トールである酸素ガスで該酸窒化シリコン膜をアニールするステップと;
    を含む、前記方法。
  2. 酸窒化シリコン膜を形成するステップが、プラズマ窒化物形成によって行われる、請求項1に記載の方法。
  3. 分圧が約1〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールする該ステップが、約700℃以上で行われる、請求項1に記載の方法。
  4. 分圧が約1〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールする該ステップが、約1000℃〜約1100℃で行われる、請求項3に記載の方法。
  5. 分圧が約1〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールする該ステップが、約1秒〜約120秒間行われる、請求項1に記載の方法。
  6. 分圧が約1〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールする該ステップが、約100ミリトール〜約800トールのチャンバ圧で行われる、請求項1に記載の方法。
  7. 該酸化ガスが、酸素、亜酸化窒素、一酸化窒素、及びオゾンより選ばれるガスである、請求項1に記載の方法。
  8. 該酸化ガスが、酸素である、請求項1に記載の方法。
  9. 分圧が約1〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールする該ステップが、該酸窒化シリコン膜を還元ガスでアニールする工程を更に含む、請求項1に記載の方法。
  10. 該還元ガスが、水素である、請求項9に記載の方法。
  11. 分圧が約1〜約100ミリトールである酸化ガスの存在下に該酸窒化シリコン膜をアニールする該ステップが、該酸窒化シリコン膜を不活性ガスでアニールする工程を更に含む、請求項1に記載の方法。
  12. 該不活性ガスが、窒素、ヘリウム、及びアルゴンより選ばれるガスである、請求項11に記載の方法。
  13. 流量が約1slmである酸素ガスで該酸窒化シリコン膜をアニールする該ステップが、約900℃〜約1100℃で行われる、請求項1に記載の方法。
  14. 流量が約1slmである酸素ガスで該酸窒化シリコン膜をアニールする該ステップが、約10ミリトール〜約100トールの圧力で行われる、請求項1に記載の方法。
  15. 分圧が約0.5トール〜約3.0トールである酸素ガスで該酸窒化シリコン膜をアニールする該ステップが、約1秒〜約120秒間行われる、請求項1に記載の方法。
  16. チャンバ内に配置され且つ酸窒化シリコン膜を有する半導体基板をアニールする方法であって、
    約1〜約100ミリトールの酸化ガスを約1000℃〜約1100℃のチャンバ温度で該チャンバに流すステップと;
    約0.5トール〜約3.0トールの酸素ガスを約1slmの流量で該チャンバに流すステップと;
    を含み、約1〜約100ミリトールの酸化ガスを該チャンバに流す前記ステップが、約0.5トール〜約3.0トールの酸素ガスを該チャンバに流す前記ステップより高い温度と高いチャンバ圧で行われる、前記方法。
  17. 約1〜約100ミリトールの酸化ガスを該チャンバに流す前記ステップが、約1000℃で行われる、請求項16に記載の方法。
  18. 約1〜約100ミリトールの酸化ガスを該チャンバに流す前記ステップが、約1秒〜約120秒間行われる、請求項16に記載の方法。
  19. 約1〜約100ミリトールの酸化ガスを該チャンバに流す前記ステップが、約100ミリトール〜約800トールのチャンバ圧で行われる、請求項16に記載の方法。
  20. 該酸化ガスが、酸素、亜酸化窒素、一酸化窒素及びオゾンより選ばれるガスである、請求項16に記載の方法。
JP2009504392A 2006-04-03 2007-03-30 複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成 Active JP5105627B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/397,010 2006-04-03
US11/397,010 US7429540B2 (en) 2003-03-07 2006-04-03 Silicon oxynitride gate dielectric formation using multiple annealing steps
PCT/US2007/065650 WO2007118031A2 (en) 2006-04-03 2007-03-30 Silicon oxynitride gate dielectric formation using multiple annealing steps

Publications (3)

Publication Number Publication Date
JP2009532915A true JP2009532915A (ja) 2009-09-10
JP2009532915A5 JP2009532915A5 (ja) 2012-10-11
JP5105627B2 JP5105627B2 (ja) 2012-12-26

Family

ID=38581764

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009504392A Active JP5105627B2 (ja) 2006-04-03 2007-03-30 複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成

Country Status (6)

Country Link
US (1) US7429540B2 (ja)
JP (1) JP5105627B2 (ja)
KR (1) KR101014938B1 (ja)
CN (1) CN101416286B (ja)
TW (1) TWI375276B (ja)
WO (1) WO2007118031A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013537716A (ja) * 2010-08-04 2013-10-03 日本テキサス・インスツルメンツ株式会社 その側壁での窒素濃度が高められたSiONゲート誘電体を含むMOSトランジスタ

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US7942965B2 (en) * 2007-03-19 2011-05-17 Applied Materials, Inc. Method of fabricating plasma reactor parts
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7575986B2 (en) * 2007-08-08 2009-08-18 Applied Materials, Inc. Gate interface relaxation anneal method for wafer processing with post-implant dynamic surface annealing
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
JP2010021378A (ja) * 2008-07-11 2010-01-28 Tokyo Electron Ltd シリコン酸窒化膜の形成方法および形成装置
KR101410429B1 (ko) * 2008-09-05 2014-07-03 삼성전자주식회사 비휘발성 기억 소자 및 그 형성 방법
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012079785A (ja) * 2010-09-30 2012-04-19 Tokyo Electron Ltd 絶縁膜の改質方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
KR101858524B1 (ko) 2011-05-26 2018-05-18 삼성전자주식회사 반도체 소자의 제조 방법
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
KR101929384B1 (ko) 2012-05-24 2018-12-14 삼성전자주식회사 선택적으로 질화처리된 게이트 절연막을 갖는 반도체 장치의 제조 방법
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103871955A (zh) * 2014-03-31 2014-06-18 上海华力微电子有限公司 一种栅介质等效氧化层厚度控制方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
CN114765234B (zh) * 2022-03-23 2024-04-02 山西潞安太阳能科技有限责任公司 一种p型晶硅双面电池退火增强背钝化方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001502115A (ja) * 1996-09-05 2001-02-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 信頼できる極薄酸窒化物形成のための新規なプロセス
JP2003078132A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
WO2004070796A2 (en) * 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
WO2004081984A2 (en) * 2003-03-07 2004-09-23 Applied Materials, Inc. Two-step post nitridation annealing for lower eot plasma nitrided gate dielectrics
WO2005062345A2 (en) * 2003-12-15 2005-07-07 Applied Materials, Inc. A method of forming a silicon oxynitride layer
JP2005530344A (ja) * 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
JP2006156995A (ja) * 2004-11-04 2006-06-15 Tokyo Electron Ltd 絶縁膜形成方法およびコンピュータ記録媒体

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4725560A (en) 1986-09-08 1988-02-16 International Business Machines Corp. Silicon oxynitride storage node dielectric
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
JP3641342B2 (ja) 1997-03-07 2005-04-20 Tdk株式会社 半導体装置及び有機elディスプレイ装置
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US7115461B2 (en) * 1997-07-24 2006-10-03 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6153524A (en) 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US6911371B2 (en) 1997-12-19 2005-06-28 Micron Technology, Inc. Capacitor forming methods with barrier layers to threshold voltage shift inducing material
US6087701A (en) 1997-12-23 2000-07-11 Motorola, Inc. Semiconductor device having a cavity and method of making
KR19990056733A (ko) * 1997-12-29 1999-07-15 김영환 반도체 소자의 게이트 절연막 제조방법
US6162744A (en) * 1998-02-28 2000-12-19 Micron Technology, Inc. Method of forming capacitors having high-K oxygen containing capacitor dielectric layers, method of processing high-K oxygen containing dielectric layers, method of forming a DRAM cell having having high-K oxygen containing capacitor dielectric layers
US6063704A (en) 1999-08-02 2000-05-16 National Semiconductor Corporation Process for incorporating silicon oxynitride DARC layer into formation of silicide polysilicon contact
US6444555B2 (en) 1999-12-07 2002-09-03 Advanced Micro Devices, Inc. Method for establishing ultra-thin gate insulator using anneal in ammonia
EP1326271A4 (en) 2000-09-18 2005-08-24 Tokyo Electron Ltd METHOD FOR FILMING A GATE INSULATOR, DEVICE FOR FILMING A GATE INSULATOR AND A CLUSTER TOOL
CN100342500C (zh) * 2000-09-19 2007-10-10 马特森技术公司 形成介电薄膜的方法
US6365518B1 (en) 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US7125783B2 (en) 2001-04-18 2006-10-24 Integrated Device Technology, Inc. Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
US6548366B2 (en) 2001-06-20 2003-04-15 Texas Instruments Incorporated Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6632747B2 (en) 2001-06-20 2003-10-14 Texas Instruments Incorporated Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US20030000645A1 (en) 2001-06-27 2003-01-02 Dornfest Charles N. Apparatus and method for reducing leakage in a capacitor stack
US6642156B2 (en) * 2001-08-01 2003-11-04 International Business Machines Corporation Method for forming heavy nitrogen-doped ultra thin oxynitride gate dielectrics
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US6649538B1 (en) 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001502115A (ja) * 1996-09-05 2001-02-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 信頼できる極薄酸窒化物形成のための新規なプロセス
JP2003078132A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
JP2005530344A (ja) * 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
WO2004070796A2 (en) * 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
WO2004081984A2 (en) * 2003-03-07 2004-09-23 Applied Materials, Inc. Two-step post nitridation annealing for lower eot plasma nitrided gate dielectrics
WO2005062345A2 (en) * 2003-12-15 2005-07-07 Applied Materials, Inc. A method of forming a silicon oxynitride layer
JP2006156995A (ja) * 2004-11-04 2006-06-15 Tokyo Electron Ltd 絶縁膜形成方法およびコンピュータ記録媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013537716A (ja) * 2010-08-04 2013-10-03 日本テキサス・インスツルメンツ株式会社 その側壁での窒素濃度が高められたSiONゲート誘電体を含むMOSトランジスタ

Also Published As

Publication number Publication date
US7429540B2 (en) 2008-09-30
CN101416286A (zh) 2009-04-22
TWI375276B (en) 2012-10-21
WO2007118031A2 (en) 2007-10-18
CN101416286B (zh) 2012-12-12
US20060178018A1 (en) 2006-08-10
JP5105627B2 (ja) 2012-12-26
WO2007118031A3 (en) 2007-12-13
TW200802608A (en) 2008-01-01
KR101014938B1 (ko) 2011-02-15
KR20080113088A (ko) 2008-12-26

Similar Documents

Publication Publication Date Title
JP5105627B2 (ja) 複数のアニールステップを用いた酸窒化シリコンゲート誘電体の形成
KR100993124B1 (ko) 플라즈마 질화된 게이트 유전체의 두 단계 포스트 질화어닐링을 위한 개선된 제조 방법
US20040175961A1 (en) Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
JP4895803B2 (ja) 誘電体膜及びゲートスタックの形成方法並びに誘電体膜の処理方法
US7569502B2 (en) Method of forming a silicon oxynitride layer
US7964514B2 (en) Multiple nitrogen plasma treatments for thin SiON dielectrics
US5891809A (en) Manufacturable dielectric formed using multiple oxidation and anneal steps
US7192887B2 (en) Semiconductor device with nitrogen in oxide film on semiconductor substrate and method of manufacturing the same
JP2001085427A (ja) 酸窒化膜およびその形成方法
US6238737B1 (en) Method for protecting refractory metal thin film requiring high temperature processing in an oxidizing atmosphere and structure formed thereby
US20070010103A1 (en) Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
JPH11297690A (ja) ゲート絶縁膜の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100212

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101008

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120328

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120625

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120702

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120726

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120802

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20120824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120918

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121001

R150 Certificate of patent or registration of utility model

Ref document number: 5105627

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151012

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250