EP1020905B1 - Verfahren zur Herstellung einer integrierten Schaltungsanordnung mit Doppeldamaszen-Kontaktstruktur und Metallelektroden-Kondensator - Google Patents

Verfahren zur Herstellung einer integrierten Schaltungsanordnung mit Doppeldamaszen-Kontaktstruktur und Metallelektroden-Kondensator Download PDF

Info

Publication number
EP1020905B1
EP1020905B1 EP00300072A EP00300072A EP1020905B1 EP 1020905 B1 EP1020905 B1 EP 1020905B1 EP 00300072 A EP00300072 A EP 00300072A EP 00300072 A EP00300072 A EP 00300072A EP 1020905 B1 EP1020905 B1 EP 1020905B1
Authority
EP
European Patent Office
Prior art keywords
opening
capacitor
metal
layer
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
EP00300072A
Other languages
English (en)
French (fr)
Other versions
EP1020905A1 (de
Inventor
Sung Chun-Yung
Yen Allen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of EP1020905A1 publication Critical patent/EP1020905A1/de
Application granted granted Critical
Publication of EP1020905B1 publication Critical patent/EP1020905B1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the field of integrated circuits, and, more particularly, to integrated circuit devices having capacitors.
  • Capacitors are used in semiconductor devices such as integrated circuits (ICs) for storing electrical charge.
  • ICs such as dynamic random access memory (DRAM)
  • capacitors are used for storage in the memory cells.
  • capacitors formed in ICs include a lower electrode made of, e.g., polycrystalline silicon (polysilicon), a dielectric layer made of, e.g., tantalum pentoxide and/or barium strontium titantate, and an upper electrode made of, e.g., titanium nitride, titanium, tungsten, platinum or polysilicon.
  • the development of the semiconductor memory device has required higher packing density, the area occupied by a capacitor of a DRAM storage cell shrinks, thus decreasing the capacitance of the capacitor because of its smaller electrode surface area.
  • a relatively large capacitance is required to achieve a high signal-to-noise ratio in reading the memory cell. Therefore, it is desirable to reduce the cell dimension and yet obtain a high capacitance. This can be accomplished with a metal electrode capacitor, for example, which also may include a high-k dielectric.
  • a plug structure such as a tungsten plug, for example, for an electrical connection between first and second metal lines.
  • Such structures require three separate processing steps including one for the formation of each of the two conductors and one for the formation of the tungsten plug structure.
  • greater interest has been shown by manufacturers of semiconductor devices in the use of copper and copper alloys for metallization patterns, such as in conductive vias and interconnects. Copper, compared to aluminum, has both good electromigration resistance and a relatively low electrical resistivity of about 1.7 ohm cm. Unfortunately, copper is difficult to etch. Consequently, dual damascene processes have been developed to simplify the process steps and eliminate a metal etch step to form copper interconnects. Dual damascene processes are also used with aluminum interconnects.
  • a dual damascene structure has a bottom portion or via that contacts an underlying conductor and replaces the function of a plug structure in a traditional interconnect structure.
  • the dual damascene structure also has a top portion or inlaid trench that is used for the formation of a second conductor. Because the bottom and top portions of a dual damascene structure are in contact with each other, they can be filled simultaneously with the same conductive material, e.g. copper. This eliminates the need to form a plug structure and an overlying conductive layer in separate processing steps.
  • capacitors are usually formed in a separate level by depositing a first conductive layer, forming the dielectric therebetween, forming a second conductive layer, and then patterning and etching the layered structure.
  • the conductive layers are typically formed of poly-silicon or titanium nitride, for example.
  • an oxide is formed over the capacitors and results in surface topographies above the capacitors. This requires chemical mechanical polishing (CMP) to planarise the oxide layer before subsequent layers are formed.
  • CMP chemical mechanical polishing
  • the conventional process of making capacitors requires additional time due to the etching of the conductive layers as well as the CMP step.
  • the metal etch step required is not fully compatible with the dual damascene process.
  • the dual damascene process is used specifically to avoid metal etching; therefore, using a metal etch step within a dual damascene process is undesirable.
  • EP-A-0 771 022 discloses a technique for forming a precision metal-metal capacitor for an analog circuit.
  • a trench is formed in an insulation layer, and metal deposited therein. This forms a first capacitor plate.
  • the device is then planarised.
  • a thin dielectric is then deposited and patterned.
  • a second insulator is deposited and discrete openings etched therein to expose the insulation layer and the first metal plate.
  • Metal is deposited within the openings and planarised.
  • U.S. Patent No. 4,958,318 discloses a dynamic RAM including a storage capacitor.
  • the first electrode of the capacitor consists of a thick conductive layer whose vertical sidewalls provide an extra surface area.
  • the second electrode is used to partially planarise the surface topology. Further examples of prior art can be found in U.S. Patent No. 5,459,100 , U.S. Patent No. 5,151,168 , and JP 02 143456 .
  • the first conductive layer is formed while masking the second opening, may be formed by electroplating copper, and may also include a barrier metal layer to at least line the first opening.
  • the barrier metal layer preferably comprises tantalum nitride
  • the step of simultaneously forming the first opening and the second opening may comprise: simultaneously forming an upper portion of the first opening and an upper portion of the second opening; and simultaneously forming a lower portion of the first opening and a lower portion of the second opening.
  • the upper portion of the first opening may have a greater width than the lower portion of the first opening, and the upper portion of the second opening may have substantially a same width as the lower portion of the second opening.
  • the dielectric layer may be formed of a lower dielectric layer portion, an etch stop layer and an upper dielectric layer portion.
  • the upper portion of the first opening and the upper portion of the second opening may be formed simultaneously in the upper dielectric layer portion and the etch stop layer.
  • the lower portion of the first opening and the lower portion of the second opening may be formed simultaneously in the lower dielectric layer portion.
  • the capacitor may be formed by depositing a lower metal layer to at least line the second opening and to form the lower metal electrode, forming the capacitor dielectric layer on the lower metal layer, depositing an upper metal layer on the capacitor dielectric layer to form the upper metal electrode.
  • a second conductive layer may be selectively deposited to fill a remaining portion of the second opening.
  • This second conductive layer preferably comprises copper, and the upper and lower metal electrodes of the capacitor preferably comprise tantalum nitride.
  • the capacitor dielectric may be a high-k dielectric having, e.g., a dielectric constant greater than about 25.
  • an integrated circuit device including a dielectric layer adjacent a semiconductor substrate having first and second openings therein, an interconnect structure in the first opening and comprising a metal line and a metal contact depending therefrom, and a capacitor in the second opening and comprising upper and lower metal electrodes with a capacitor dielectric layer therebetween.
  • the capacitor may have a substantially planar upper surface substantially flush with adjacent upper surface portions of the dielectric layer. Also, the edges of the lower electrode and the capacitor dielectric layer may terminate at the upper surface of the capacitor.
  • the dielectric layer may comprise a lower dielectric layer portion, an etch stop layer, and an upper dielectric layer portion.
  • the metal line of the interconnect structure is preferably in the upper dielectric layer portion and the etch stop layer of the dielectric layer, and the contact of the interconnect structure is preferably in the lower dielectric layer portion of the dielectric layer.
  • the capacitor is preferably in the upper dielectric layer portion, the etch stop layer, and the lower dielectric layer portion of the dielectric layer.
  • the semiconductor substrate 30 is preferably silicon, or may be silicon or a polysilicon layer or structure formed on the substrate.
  • a plurality of devices, such as transistors (not shown), are formed in the substrate 30 using well known techniques.
  • the integrated circuit device 20 includes a first dielectric layer 32 adjacent the substrate 30 .
  • the first dielectric layer is formed from any suitable dielectric, e.g., silicon dioxide, silicon nitride and/or any material or alloy of material having a desired dielectric constant.
  • suitable materials include tantalum pentoxide and barium strontium titantate, for example, as long as the dielectric does not affect the formation of the interconnect structure and the capacitor.
  • This first dielectric layer 32 is shown in FIG. 1 with interconnects 34 and 36 .
  • the first dielectric layer 32 and the interconnects 34 and 36 illustrate an example of an underlying level of the integrated circuit device.
  • a via is an opening formed in an interlevel dielectric layer to expose a certain portion of an underlying metal line to allow electrical contact to be made to the line.
  • a conductive contact is then formed in the via to connect the underlying metal line with a subsequently formed overlying metal line.
  • the integrated circuit device 20 further includes a second dielectric layer 38 and a third dielectric layer 42 .
  • the second and third dielectric layers 38 and 42 are preferably separated by an etch stop layer 40 .
  • the second and third dielectric layers 38 and 42 are formed from any suitable dielectric having a desired dielectric constant, as would readily be appreciated by the skilled artisan.
  • the etch stop layer 40 is typically formed from silicon nitride and deposited by conventional techniques.
  • the interconnect structure 22 includes a metal line 27 and a contact 2 6 .
  • the metal line 27 is formed in the third dielectric layer 42 and the etch stop layer 40 .
  • the contact is formed in the second dielectric layer 38 .
  • the interconnect structure 22 comprises a barrier metal layer 52 and a metal conductive layer 54 .
  • the barrier metal layer may be formed of any suitable metal layer, e.g., tantalum nitride, titanium nitride or tungsten nitride, which will substantially prohibit diffusion of the metal from the metal conductive layer 54 into the dielectric layers 38 and 42 .
  • the conductive metal layer 54 is preferably copper but may include aluminum or tungsten, for example.
  • a copper seed layer (not shown) is also typically formed on the barrier metal layer 52 , as will be readily appreciated by those skilled in the art.
  • the capacitor 24 includes a lower electrode 44 , a dielectric 46 , and an upper electrode 49 .
  • the lower electrode 44 is formed of at least one layer of a conductive metal such as tantalum nitride, for example.
  • the lower electrode 44 illustratively includes two metal layers 52 , 53 , such as formed of tantalum nitride.
  • a copper seed layer, not shown, may also be formed between the two tantalum nitride layers 52 , 53 when copper is used as the interconnection metal as will be understood by those skilled in the art.
  • the capacitor dielectric 46 is formed of a suitable dielectric material, e.g. silicon oxide, silicon nitride or tantalum oxide, having a desired dielectric constant.
  • a suitable dielectric material e.g. silicon oxide, silicon nitride or tantalum oxide, having a desired dielectric constant.
  • the capacitor dielectric 46 has a dielectric constant greater than about 25 to achieve desired capacitor characteristics.
  • the upper electrode 49 illustratively comprises conductive metal layer 48 and conductive metal layer 50 .
  • the conductive metal layer 48 may be formed of tantalum nitride, for example, and the conductive metal layer 50 may be formed of copper. Of course, a copper seed layer, not shown, may be between the two layers 48 , 50 .
  • the conductive metal layer 48 may also act as a barrier layer to prohibit diffusion of the metal, e.g. copper, from the metal conductive layer 50 into the dielectric 46 .
  • the capacitor 24 has a substantially planar upper surface substantially flush with adjacent upper surface portions of the third dielectric layer 42 . Also, edges of the lower metal 44 electrode and the capacitor dielectric 46 terminate at the upper surface of the capacitor 24 .
  • the integrated circuit device 20 provides a high-density capacitor 24 having metal electrodes 44 , 49 and which is compatible and integrated with dual damascene structures. As such, the capacitor 24 is situated in a same level as the dual damascene interconnect structure 22 .
  • a dual damascene process for making the integrated circuit device 20 including an interconnect structure 22 and metal electrode capacitor 24 formed on a semiconductor substrate 30 in accordance with the present invention will now be described.
  • a semiconductor substrate 30 is provided, and a first dielectric layer 32 is formed adjacent the semiconductor substrate by conventional techniques.
  • the semiconductor substrate 30 is preferably silicon.
  • a plurality of devices are formed in the substrate 30 using well known techniques.
  • the semiconductor substrate 30 and other associated layers form a semiconductor wafer as known to those skilled in the art.
  • the first dielectric layer 32 can be formed of silicon dioxide as well as other known dielectrics. Of course, the first dielectric layer 32 may be deposited or grown. Further, the first dielectric layer 32 includes interconnects 34 and 36 .
  • the interconnects 34 and 36 are formed by depositing a conductive metal, e.g. aluminum and/or copper, in trenches which have been etched in the first dielectric layer 32 .
  • the first dielectric layer 32 and the interconnects 34 and 36 illustrate an example of an underlying level of the integrated circuit device.
  • a second dielectric layer 38 is formed adjacent the first dielectric layer 32 and the interconnects 34 and 36 .
  • An etch stop layer 40 is formed over the second oxide layer 38 as illustrated.
  • a third dielectric layer 42 is formed adjacent the etch stop layer 40 .
  • the second and third dielectric layers 38 and 42 are formed from any suitable dielectric having a desired dielectric constant, and are deposited or grown as would readily be appreciated by the skilled artisan.
  • the etch stop layer 40 is typically formed from silicon nitride and deposited by conventional techniques. This nonconductive silicon nitride etch stop layer 40 is typically deposited on the associated dielectric layer, e.g. the second dielectric layer 38, using CVD at temperatures between about 600 C and 900 C.
  • a first set of openings 56 and 57 are selectively formed through the third dielectric layer 42 and also through the etch stop layer 40 .
  • the present description proceeds with the etching of the third dielectric layer 42 followed by the etching of the etch stop layer 40 , other etching steps for etching the third dielectric layer and the etch stop layer can be used as would readily be appreciated by those skilled in the art.
  • the openings, e.g., trenches 56 and 57 will later be used to form a metallization conductor or a capacitor as will be explained below.
  • the opening 62 is for forming a via between different layers, as is well known to those skilled in the art.
  • the opening 60 has been illustrated, as an example, as being substantially the same width as the above opening 56 in the third dielectric layer 42 , this opening 60 may also be more narrow than the above opening 56 as is the case with openings 61 and 57 .
  • a photo resist 62 is formed over the openings 56 and 60 .
  • a barrier metal layer 52 is preferably formed to line openings 56 , 57 , 60 and 61 before the photo resist 62 is formed.
  • a conductive metal layer 54 e.g. aluminum and/or copper, is selectively deposited over the third dielectric layer 42 , such that the conductive metal layer 54 is deposited within the openings 57 and 61 and over at least portions of the third dielectric layer 42 adjacent the opening 57 .
  • the conductive metal layer 54 can be deposited by electrodeposition, electroplating or chemical vapor deposition techniques well known to those skilled in the art. Of course if copper is used as the conductive metal layer 54 , a copper seed layer (not shown) may be formed on the barrier metal layer 52 .
  • the photo resist 62 is then removed and the openings 56 and 60 are cleaned by techniques known to those skilled in the art.
  • a barrier metal layer 53 such as tantalum nitride, titanium nitride or tungsten nitride, for example, is then deposited to complete the lower electrode 44 .
  • the capacitor dielectric 46 is formed by deposition or epitaxial growth.
  • the capacitor dielectric 46 is formed of a suitable dielectric material, e.g. silicon oxide, silicon nitride or tantalum oxide, having a desired dielectric constant.
  • the capacitor dielectric 46 has a dielectric constant greater than about 25 to achieve the desired capacitor characteristics.
  • a barrier metal layer 48 such as tantalum nitride, titanium nitride or tungsten nitride, for example, is then deposited to form part of the upper electrode 49 .
  • a barrier metal layer 48 such as tantalum nitride, titanium nitride or tungsten nitride, for example, is then deposited to form part of the upper electrode 49 .
  • the materials forming the electrodes 44 and 49 as well as the dielectric 46 have been blanket deposited over the upper surface of the integrated circuit device 20 .
  • a conductive metal layer 50 e.g. aluminum and/or copper, is deposited to form part the upper electrode 49 , such that the conductive metal layer 50 is deposited within a remaining portion of the openings 56 and 60 .
  • This deposition step may involve selective deposition including a photo resist 64 formed over the interconnect structure 22 , as illustrated. However, the conductive metal layer 50 may also be blanket deposited over the entire upper surface of the integrated circuit device 20 .
  • the conductive metal layer 50 can be deposited by electrodeposition, electroplating or chemical vapor deposition techniques well known to those skilled in the art. Of course if copper is used as the conductive metal layer 50 , a copper seed layer (not shown) may be formed on the upper electrode 48 .
  • an upper surface of the integrated circuit device is then planarized using CMP, for example, as shown in FIG. 8 .
  • the capacitor 24 has a substantially planar upper surface substantially flush with adjacent upper surface portions of the third dielectric layer 42 .
  • edges of the lower metal 44 electrode and the capacitor dielectric 46 terminate at the upper surface of the capacitor 24 .
  • a dual damascene process is provided for making the integrated circuit device 20 with a high-density capacitor 24 having metal electrodes 44 , 49 , and which is compatible and integrated with dual damascene structures such as the interconnect structure 22 .
  • the process of the present invention does not require etching metal layers or CMP of oxides to form a capacitor having metal electrodes.
  • FIG. 9 another example for an integrated circuit device 20 is described. Because the lower electrode 44 surrounds the capacitor 24 , a contact 66 may be formed in a side trench 68 to connect the capacitor 24 to an associated metal line such as the conductive layer 54 . In this embodiment, an interconnect 34 ( FIG. 1 ) would not be necessary to contact the lower electrode 44 . This may also eliminate a layer and allow reduction of the dimensions of the integrated circuit 20 . Furthermore, the trench 68 may be formed during the dielectric etch which forms the openings 56 and 57 . This would also reduce the number of steps required in making the integrated circuit device 20 .
  • the thicknesses of the various layers may vary as would be appreciated by those skilled in the art.
  • the first dielectric layer 32 can be deposited over the substrate by chemical vapor deposition (CVD) from a TEOS source gas and could have a thickness of about 400 to 600 nanometers or greater.
  • the second and third dielectric layers 38 and 42 can also be formed in a similar thickness range.
  • the appropriate silicon nitride etch stop layer 40 can have a thickness between about 200 to 1,500 angstroms, for example. Naturally, this is only a range of thickness, which can vary depending on the thickness desired and the end use of the semiconductor devices.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Claims (10)

  1. Verfahren zur Herstellung eines integrierten Schaltungsbauelements (20) einschließlich einer Kontaktstruktur (22) und eines Kondensators (24), wobei die Kontaktstruktur eine Metallleiterbahn (27) und einen Metallkontakt (26) umfasst und der Kondensator obere (49) und untere (44) Metallelektroden umfasst, wobei das Verfahren folgende Schritte umfasst:
    Bildung einer Isolierschicht (38; 42), die an ein Halbleitersubstrat (30) angrenzt;
    gleichzeitige Bildung einer ersten Öffnung (57; 61) für die Kontaktstruktur und einer zweiten Öffnung (56; 60) für den Kondensator in der Isolierschicht;
    Bildung einer Maske (62) über der zweiten Öffnung;
    selektives Aufbringen einer ersten leitenden Metallschicht (54), um die erste Öffnung zu füllen, wobei die Maske die zweite Öffnung schützt;
    Entfernen der Maske von der zweiten Öffnung;
    Aufbringen einer unteren Metallschicht (52 oder 53), um zumindest die zweite Öffnung auszukleiden und um die untere Elektrode des Kondensators zu bilden;
    Bildung einer Kondensator-Isolierschicht (46) auf der unteren Metallschicht, um den Kondensator-Isolator des Kondensators zu bilden;
    Aufbringen einer oberen Metallschicht (48) auf die Kondensator-Isolierschicht, um Teil der oberen Metallelektrode des Kondensators zu bilden;
    Aufbringen einer zweiten leitenden Schicht (50), um einen restlichen Teil der zweiten Öffnung zu füllen und Teil der oberen Metallelektrode des Kondensators zu bilden; und
    Planarisieren einer oberen Fläche des integrierten Schaltungsbauelements.
  2. Verfahren nach Anspruch 1, wobei der Schritt gleichzeitige Bildung der ersten Öffnung und der zweiten Öffnung umfasst:
    gleichzeitige Bildung eines oberen Teils (57) der ersten Öffnung und eines oberen Teils (56) der zweiten Öffnung; und
    gleichzeitige Bildung eines unteren Teils (61) der ersten Öffnung und eines unteren Teils (60) der zweiten Öffnung;
    wobei der obere Teil der ersten Öffnung eine größere Breite als der untere Teil der ersten Öffnung aufweist und der obere Teil der zweiten Öffnung im Wesentlichen eine gleiche Breite wie der untere Teil der zweiten Öffnung aufweist.
  3. Verfahren nach Anspruch 1, wobei der Schritt Bildung der Isolierschicht umfasst:
    Bildung eines unteren Isolierschichtteils (38), der an das Halbleitersubstrat angrenzt;
    Bildung einer Ätzstoppschicht (40) auf dem unteren Isolierschichtteil; und
    Bildung eines oberen Isolierschichtteils (42) auf der Ätzstoppschicht.
  4. Verfahren nach Anspruch 1, wobei der Schritt Aufbringen der ersten leitenden Metallschicht in die erste Öffnung galvanisches Aufbringen von Kupfer umfasst.
  5. Verfahren nach Anspruch 1, wobei der Schritt selektives Aufbringen der ersten leitenden Metallschicht in die erste Öffnung umfasst:
    Aufbringen einer Sperrmetallschicht (52), um zumindest die erste Öffnung auszukleiden; und
    galvanisches Aufbringen von Kupfer, um die ausgekleidete erste Öffnung zu füllen.
  6. Verfahren nach Anspruch 5, wobei die Sperrmetallschicht Tantalnitrid umfasst.
  7. Verfahren nach Anspruch 1, wobei die unteren und oberen Metallelektroden Tantalnitrid umfassen.
  8. Verfahren nach Anspruch 1, wobei die Kondensator-Isolierschicht eine Dielektrizitätskonstante größer als 25 hat.
  9. Verfahren nach Anspruch 1, wobei die zweite leitende Schicht Kupfer umfasst.
  10. Verfahren nach Anspruch 1, das weiter den Schritt Bildung eines Kondensatorkontakts (66) in der Isolierschicht und elektrisches Verbinden der Metallleiterbahn der Kontaktstruktur und der unteren Metallelektrode des Kondensators umfasst.
EP00300072A 1999-01-12 2000-01-06 Verfahren zur Herstellung einer integrierten Schaltungsanordnung mit Doppeldamaszen-Kontaktstruktur und Metallelektroden-Kondensator Expired - Lifetime EP1020905B1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11570399P 1999-01-12 1999-01-12
US115703 1999-01-12
US09/383,806 US6346454B1 (en) 1999-01-12 1999-08-26 Method of making dual damascene interconnect structure and metal electrode capacitor
US383806 1999-08-26

Publications (2)

Publication Number Publication Date
EP1020905A1 EP1020905A1 (de) 2000-07-19
EP1020905B1 true EP1020905B1 (de) 2010-09-22

Family

ID=26813481

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00300072A Expired - Lifetime EP1020905B1 (de) 1999-01-12 2000-01-06 Verfahren zur Herstellung einer integrierten Schaltungsanordnung mit Doppeldamaszen-Kontaktstruktur und Metallelektroden-Kondensator

Country Status (6)

Country Link
US (1) US6346454B1 (de)
EP (1) EP1020905B1 (de)
JP (2) JP4558876B2 (de)
KR (1) KR100721690B1 (de)
DE (1) DE60044990D1 (de)
TW (1) TW455990B (de)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320244B1 (en) * 1999-01-12 2001-11-20 Agere Systems Guardian Corp. Integrated circuit device having dual damascene capacitor
KR100313506B1 (ko) * 1999-03-16 2001-11-07 김영환 고유전막을 이용한 반도체 소자의 커패시터 및 그 제조방법
US6750495B1 (en) 1999-05-12 2004-06-15 Agere Systems Inc. Damascene capacitors for integrated circuits
US6313025B1 (en) * 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
KR100326253B1 (ko) * 1999-12-28 2002-03-08 박종섭 반도체 소자의 캐패시터 형성방법
US6384468B1 (en) * 2000-02-07 2002-05-07 International Business Machines Corporation Capacitor and method for forming same
US6452251B1 (en) * 2000-03-31 2002-09-17 International Business Machines Corporation Damascene metal capacitor
US6680542B1 (en) * 2000-05-18 2004-01-20 Agere Systems Inc. Damascene structure having a metal-oxide-metal capacitor associated therewith
US6762087B1 (en) * 2000-06-16 2004-07-13 Agere Systems Inc. Process for manufacturing an integrated circuit including a dual-damascene structure and a capacitor
GB2368721A (en) * 2000-06-16 2002-05-08 Agere Syst Guardian Corp Integrated circuit with damascene structure and capacitor
JP2002009248A (ja) * 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd キャパシタおよびその製造方法
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
TW451449B (en) * 2000-08-17 2001-08-21 United Microelectronics Corp Manufacturing method of dual damascene structure
FR2813145B1 (fr) 2000-08-18 2002-11-29 St Microelectronics Sa Procede de fabrication d'un condensateur au sein d'un circuit integre, et circuit integre correspondant
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
WO2002029892A2 (en) 2000-10-03 2002-04-11 Broadcom Corporation High-density metal capacitor using dual-damascene copper interconnect
KR20020055887A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 배선 및 커패시터 제조 방법
KR100358050B1 (ko) * 2000-12-29 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 금속 배선 및 커패시터 제조 방법
KR100387265B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 금속 배선 및 커패시터 제조 방법
US6803306B2 (en) * 2001-01-04 2004-10-12 Broadcom Corporation High density metal capacitor using via etch stopping layer as field dielectric in dual-damascence interconnect process
US6780775B2 (en) * 2001-01-24 2004-08-24 Infineon Technologies Ag Design of lithography alignment and overlay measurement marks on CMP finished damascene surface
US6723600B2 (en) * 2001-04-18 2004-04-20 International Business Machines Corporation Method for making a metal-insulator-metal capacitor using plate-through mask techniques
US6677635B2 (en) * 2001-06-01 2004-01-13 Infineon Technologies Ag Stacked MIMCap between Cu dual damascene levels
KR100531419B1 (ko) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
JP4309608B2 (ja) * 2001-09-12 2009-08-05 株式会社東芝 半導体装置及びその製造方法
KR100422597B1 (ko) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
KR100428789B1 (ko) * 2001-12-05 2004-04-28 삼성전자주식회사 금속/절연막/금속 캐퍼시터 구조를 가지는 반도체 장치 및그 형성 방법
KR100444305B1 (ko) * 2001-12-26 2004-08-16 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
US6960365B2 (en) * 2002-01-25 2005-11-01 Infineon Technologies Ag Vertical MIMCap manufacturing method
KR100471164B1 (ko) * 2002-03-26 2005-03-09 삼성전자주식회사 금속-절연체-금속 캐패시터를 갖는 반도체장치 및 그제조방법
US6593185B1 (en) * 2002-05-17 2003-07-15 United Microelectronics Corp. Method of forming embedded capacitor structure applied to logic integrated circuit
KR100447730B1 (ko) * 2002-05-24 2004-09-08 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US6979526B2 (en) * 2002-06-03 2005-12-27 Infineon Technologies Ag Lithography alignment and overlay measurement marks formed by resist mask blocking for MRAMs
US6847077B2 (en) * 2002-06-25 2005-01-25 Agere Systems, Inc. Capacitor for a semiconductor device and method for fabrication therefor
JP2004063559A (ja) * 2002-07-25 2004-02-26 Renesas Technology Corp 半導体装置
US6624040B1 (en) * 2002-09-20 2003-09-23 Chartered Semiconductor Manufacturing Ltd. Self-integrated vertical MIM capacitor in the dual damascene process
US6794262B2 (en) * 2002-09-23 2004-09-21 Infineon Technologies Ag MIM capacitor structures and fabrication methods in dual-damascene structures
CN100397617C (zh) * 2002-10-16 2008-06-25 联华电子股份有限公司 制作一高密度电容的方法
KR20040057079A (ko) * 2002-12-24 2004-07-02 동부전자 주식회사 반도체 소자의 커패시터 및 콘택홀 동시 제조 방법
KR100943485B1 (ko) * 2002-12-31 2010-02-22 동부일렉트로닉스 주식회사 반도체소자의 제조방법
KR100505682B1 (ko) * 2003-04-03 2005-08-03 삼성전자주식회사 금속-절연체-금속 커패시터를 포함하는 이중 다마신 배선구조 및 그 제조방법
US7092234B2 (en) * 2003-05-20 2006-08-15 Micron Technology, Inc. DRAM cells and electronic systems
KR100532455B1 (ko) 2003-07-29 2005-11-30 삼성전자주식회사 Mim 커패시터 및 배선 구조를 포함하는 반도체 장치의제조 방법
US7282757B2 (en) * 2003-10-20 2007-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor structure and method of manufacture
US20050086780A1 (en) * 2003-10-23 2005-04-28 Chartered Semiconductor Manufacturing Ltd. Method of fabricating circular or angular spiral MIM capacitors
US7112504B2 (en) * 2003-10-28 2006-09-26 Taiwan Semiconductor Manufacturing Company Method of forming metal-insulator-metal (MIM) capacitors at copper process
KR100548999B1 (ko) * 2003-10-28 2006-02-02 삼성전자주식회사 수직으로 연장된 배선간 엠아이엠 커패시터를 갖는로직소자 및 그것을 제조하는 방법
CN100461366C (zh) * 2003-12-30 2009-02-11 中芯国际集成电路制造(上海)有限公司 在集成电路器件的大马士革铜工艺中电容器制造的方法及其结构
KR100572828B1 (ko) * 2003-12-31 2006-04-24 동부아남반도체 주식회사 엠아이엠 캐패시터를 갖는 반도체 소자의제조방법
KR100642633B1 (ko) * 2004-06-11 2006-11-10 삼성전자주식회사 엠아이엠 캐패시터들 및 그의 제조 방법
KR100641070B1 (ko) * 2004-07-06 2006-10-31 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7223612B2 (en) * 2004-07-26 2007-05-29 Infineon Technologies Ag Alignment of MTJ stack to conductive lines in the absence of topography
US7442624B2 (en) * 2004-08-02 2008-10-28 Infineon Technologies Ag Deep alignment marks on edge chips for subsequent alignment of opaque layers
US7169680B2 (en) * 2005-02-24 2007-01-30 United Microelectronics Corp. Method for fabricating a metal-insulator-metal capacitor
CN100373546C (zh) * 2005-03-08 2008-03-05 联华电子股份有限公司 金属-绝缘层-金属电容的制作方法
US7223654B2 (en) * 2005-04-15 2007-05-29 International Business Machines Corporation MIM capacitor and method of fabricating same
TWI286239B (en) * 2005-04-27 2007-09-01 Au Optronics Corp Liquid crystal module
JP5038612B2 (ja) 2005-09-29 2012-10-03 富士通セミコンダクター株式会社 半導体装置
KR100778850B1 (ko) * 2005-10-28 2007-11-22 동부일렉트로닉스 주식회사 반도체 소자의 커패시터 및 그 형성방법
KR100731138B1 (ko) * 2005-12-29 2007-06-22 동부일렉트로닉스 주식회사 반도체 소자의 mim 커패시터 형성방법
KR100796499B1 (ko) * 2005-12-29 2008-01-21 동부일렉트로닉스 주식회사 커패시터를 갖는 반도체 소자 및 이의 제조방법
US7601604B2 (en) * 2006-10-12 2009-10-13 Atmel Corporation Method for fabricating conducting plates for a high-Q MIM capacitor
US8125013B2 (en) * 2008-08-14 2012-02-28 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US8101494B2 (en) 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
CN102074588A (zh) 2009-11-20 2011-05-25 中芯国际集成电路制造(上海)有限公司 Mim电容器及其制造方法、集成电路的制造方法
JP5327139B2 (ja) * 2010-05-31 2013-10-30 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20120223413A1 (en) 2011-03-04 2012-09-06 Nick Lindert Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US20120276662A1 (en) * 2011-04-27 2012-11-01 Iravani Hassan G Eddy current monitoring of metal features
US20130328167A1 (en) * 2012-06-06 2013-12-12 International Business Machines Corporation Self-aligned metal-insulator-metal (mim) capacitor
CN108109954B (zh) * 2016-11-25 2021-04-23 中芯国际集成电路制造(上海)有限公司 互连结构的制造方法
US10789992B2 (en) 2018-07-05 2020-09-29 Sandisk Technologies Llc Non-volatile memory with capacitors using metal under pads
US10847452B2 (en) 2018-07-05 2020-11-24 Sandisk Technologies Llc Non-volatile memory with capacitors using metal under signal line or above a device capacitor
DE102019130124A1 (de) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
CN112038287B (zh) * 2020-09-11 2024-04-26 中国电子科技集团公司第十三研究所 改善GaAs接地孔内金属应力的通孔及制备方法
CN115188712A (zh) * 2022-09-13 2022-10-14 盛合晶微半导体(江阴)有限公司 半导体结构的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02143456A (ja) * 1988-11-24 1990-06-01 Nec Corp 積層型メモリセルの製造方法
US4958318A (en) * 1988-07-08 1990-09-18 Eliyahou Harari Sidewall capacitor DRAM cell
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5459100A (en) * 1993-12-21 1995-10-17 Hyundai Electronics Industries Co., Ltd. Method for forming metal wiring of semiconductor device
EP0771022A2 (de) * 1995-10-27 1997-05-02 International Business Machines Corporation Präzisionskondensator Metall-Metall für analoge Schaltung

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA581475A (en) * 1959-08-18 Esso Research And Engineering Company Bonding fabric to synthetic rubber interiors
JPS644056A (en) 1987-06-26 1989-01-09 Hitachi Ltd Semiconductor device
JPS6441262A (en) * 1987-08-07 1989-02-13 Hitachi Ltd Memory cell
JPH05243517A (ja) 1992-02-25 1993-09-21 Nec Corp 半導体装置
US5313089A (en) * 1992-05-26 1994-05-17 Motorola, Inc. Capacitor and a memory cell formed therefrom
CA2074848C (en) 1992-07-29 1998-02-10 Joseph P. Ellul Method of forming electrodes for trench capacitors
JP3520114B2 (ja) 1994-07-11 2004-04-19 株式会社ルネサステクノロジ 半導体装置の製造方法
JPH08139293A (ja) * 1994-09-17 1996-05-31 Toshiba Corp 半導体基板
US5702981A (en) 1995-09-29 1997-12-30 Maniar; Papu D. Method for forming a via in a semiconductor device
JP2809200B2 (ja) * 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
JP3607424B2 (ja) * 1996-07-12 2005-01-05 株式会社東芝 半導体装置及びその製造方法
JPH10242147A (ja) * 1997-02-27 1998-09-11 Toshiba Corp 半導体装置およびその製造方法ならびに半導体記憶装置およびその製造方法
GB2325083B (en) * 1997-05-09 1999-04-14 United Microelectronics Corp A dual damascene process
JPH10242422A (ja) * 1997-02-28 1998-09-11 Toshiba Corp 半導体記憶装置およびその製造方法
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6153519A (en) * 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
MXPA04003533A (es) * 2001-10-15 2005-06-20 Immunomedics Inc Agentes de intensificacion de afinidad.

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4958318A (en) * 1988-07-08 1990-09-18 Eliyahou Harari Sidewall capacitor DRAM cell
JPH02143456A (ja) * 1988-11-24 1990-06-01 Nec Corp 積層型メモリセルの製造方法
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5459100A (en) * 1993-12-21 1995-10-17 Hyundai Electronics Industries Co., Ltd. Method for forming metal wiring of semiconductor device
EP0771022A2 (de) * 1995-10-27 1997-05-02 International Business Machines Corporation Präzisionskondensator Metall-Metall für analoge Schaltung

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HU C.-K.; HO P.S.; SMALL M.B.: "Electromigration in two-level interconnect structures with Al alloy lines and W studs", JOURNAL OF APPLIED PHYSICS, vol. 72, no. 1, 1 July 1992 (1992-07-01), NEW YORK, USA, pages 291 - 293, XP000307426 *
VEREMEY V.; MITTRA R.: "A Technique for Fast Calculation of Capacitance Matrices of Interconnect Structures", IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGY, vol. 21, no. 3, August 1998 (1998-08-01), pages 241 - 249, XP011002104 *

Also Published As

Publication number Publication date
US6346454B1 (en) 2002-02-12
JP2000208745A (ja) 2000-07-28
KR20000053453A (ko) 2000-08-25
TW455990B (en) 2001-09-21
EP1020905A1 (de) 2000-07-19
JP2010226132A (ja) 2010-10-07
JP4558876B2 (ja) 2010-10-06
JP5296010B2 (ja) 2013-09-25
DE60044990D1 (de) 2010-11-04
KR100721690B1 (ko) 2007-05-28

Similar Documents

Publication Publication Date Title
EP1020905B1 (de) Verfahren zur Herstellung einer integrierten Schaltungsanordnung mit Doppeldamaszen-Kontaktstruktur und Metallelektroden-Kondensator
EP1022783B1 (de) Integrierte Schaltungsvorrichtung mit einem Doppel-Damaszen-Kondensator
US6649464B2 (en) Method for manufacturing semiconductor device having capacitor and via contact
US6597032B1 (en) Metal-insulator-metal (MIM) capacitors
US6081021A (en) Conductor-insulator-conductor structure
US6025226A (en) Method of forming a capacitor and a capacitor formed using the method
US6137179A (en) Method for fabricating capacitor-over-bit line (COB) dynamic random access memory (DRAM) using tungsten landing plug contacts and TI/TIN bit lines
US20070072319A1 (en) Integrated circuit capacitor structure
US20060054995A1 (en) Metal-insulator-metal capacitor and method for manufacturing the same
US7439130B2 (en) Semiconductor device with capacitor and method for fabricating the same
US8709906B2 (en) MIM capacitor and associated production method
US6559499B1 (en) Process for fabricating an integrated circuit device having capacitors with a multilevel metallization
US6323044B1 (en) Method of forming capacitor having the lower metal electrode for preventing undesired defects at the surface of the metal plug
US6750495B1 (en) Damascene capacitors for integrated circuits
US6169010B1 (en) Method for making integrated circuit capacitor including anchored plug
KR20050071149A (ko) 엠아이엠 캐패시터를 갖는 반도체 소자의제조방법
US6103586A (en) Method for making integrated circuit capacitor including anchored plugs
US6153901A (en) Integrated circuit capacitor including anchored plug
US7560332B2 (en) Integrated circuit capacitor structure
JP2000208743A (ja) ジュアルダマシ―ンコンデンサを備えた集積回路デバイスおよびこれを製造するための関連する方法
US6291848B1 (en) Integrated circuit capacitor including anchored plugs
US20050110143A1 (en) Capacitor of semiconductor device applying damascene process and method of fabricating the same

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

17P Request for examination filed

Effective date: 20001214

AKX Designation fees paid

Free format text: DE FR GB

17Q First examination report despatched

Effective date: 20061027

RAP3 Party data changed (applicant data changed or rights of an application transferred)

Owner name: LUCENT TECHNOLOGIES INC.

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

RTI1 Title (correction)

Free format text: METHOD FOR MAKING INTEGRATED CIRCUIT DEVICE HAVING DUAL DAMASCENE INTERCONNECT STRUCTURE AND METAL ELECTRODE CAPACITOR

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): DE FR GB

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REF Corresponds to:

Ref document number: 60044990

Country of ref document: DE

Date of ref document: 20101104

Kind code of ref document: P

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20110623

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 60044990

Country of ref document: DE

Effective date: 20110623

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20140108

Year of fee payment: 15

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20150930

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150202

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20151224

Year of fee payment: 17

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20161219

Year of fee payment: 18

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20170106

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20170106

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 60044990

Country of ref document: DE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20180801

REG Reference to a national code

Ref country code: DE

Ref legal event code: R082

Ref document number: 60044990

Country of ref document: DE

Representative=s name: DILG HAEUSLER SCHINDELMANN PATENTANWALTSGESELL, DE

Ref country code: DE

Ref legal event code: R081

Ref document number: 60044990

Country of ref document: DE

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE., SG

Free format text: FORMER OWNER: LUCENT TECHNOLOGIES INC., MURRAY HILL, N.J., US