DE69834609T2 - Aus Bis (Tertiärbutylamino) Silan erhaltenes Siliziumnitrid - Google Patents

Aus Bis (Tertiärbutylamino) Silan erhaltenes Siliziumnitrid Download PDF

Info

Publication number
DE69834609T2
DE69834609T2 DE69834609T DE69834609T DE69834609T2 DE 69834609 T2 DE69834609 T2 DE 69834609T2 DE 69834609 T DE69834609 T DE 69834609T DE 69834609 T DE69834609 T DE 69834609T DE 69834609 T2 DE69834609 T2 DE 69834609T2
Authority
DE
Germany
Prior art keywords
silicon nitride
silane
deposition
substrate
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69834609T
Other languages
English (en)
Other versions
DE69834609D1 (en
Inventor
Ravi Kumar Encinitas Laxman
David Allen Escondido Roberts
Arthur Kenneth Salana Beach Hochberg
Herman Gene Oceanside Hockenhull
Felicia Diane Encinitas Kaminsky
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25478939&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69834609(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of DE69834609D1 publication Critical patent/DE69834609D1/de
Application granted granted Critical
Publication of DE69834609T2 publication Critical patent/DE69834609T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

  • Hintergrund der Erfindung
  • Die Erfindung betrifft das Gebiet der chemischen Niedrigdruck-Dampfabscheidung von Siliciumnitridfilmen unter Verwendung von bis(tert-Butylamino)silan, einem neuartigen Organosiliciumquellenmaterial für Siliciumnitrid.
  • Bei der Herstellung von Halbleitervorrichtungen ist eine dünne passive Schicht eines chemisch inerten dielektrischen Materials wie Siliciumnitrid (Si3N4) wesentlich. Dünne Schichten von Siliciumnitrid fungieren als Diffusionsmasken, Oxidationssperren, zur Isolierung von Einkerbungen, als intermetallisches dielektrisches Material mit hohen dielektrischen Durchschlagsspannungen und Passivierungsschichten. Über viele andere Anwendungen von Siliciumnitridbeschichtungen bei der Herstellung von Halbleitervorrichtungen wird an anderer Stelle berichtet, siehe z.B. Handbuch der "Semiconductor and Process Technology", bearbeitet von Gary E. McGuire, Noyes Publication, New Jersey (1988), S. 289-301; und "Silicon Processing for the VLSI ERA", Wolf, Stanley, und Talbert, Richard N., Lattice Press, Sunset Beach, California (1990), S. 20-22, 327-330.
  • Das derzeitige Standardverfahren zur Züchtung von Siliciumnitrid in der Halbleiterindustrie ist die Niedrigdruck-Dampfabscheidung in einem Heißwandreaktor bei mehr als 750°C unter Verwendung von Dichlorsilan und Ammoniak.
  • Die Abscheidung von Siliciumnitrid über eine große Zahl von Siliciumwafern ist durch Verwendung zahlreicher Vorläufer erreicht worden. Die chemische Niedrigdruck-Dampfabscheidung (low pressure chemical vapor deposition = LPCVD) unter Verwendung von Dichlorsilan und Ammoniak erfordert Abscheidungstemperaturen von mehr als 750°C um angemessene Wachstumsgeschwindigkeiten und Gleichförmigkeit zu erzielen. Höhere Abscheidungstemperaturen werden typischerweise verwendet, um die besten Filmeigenschaften zu erhalten. Diese Verfahren haben verschiedene Nachteile; einige davon sind wie folgt:
    • i) Eine Abscheidung unter 850°C ergibt schlechte trübe Filme mit einer Verunreinigung durch Chlor und Teilchen;
    • ii) Silan und Dichlorsilan sind pyrophore, toxische komprimierte Gase;
    • iii) Aus Dichlorsilan hergestellte Filme führen zur Ausbildung weniger gleich förmiger Filme; und
    • iv) Filme aus Dichlorsilan haben Kontaminanten wie Chlor und Ammoniumchlorid, die als Nebenprodukte gebildet werden.
  • Das Japanische Patent 6-132284 beschreibt die Abscheidung von Siliciumnitrid unter Verwendung von Organosilanen der allgemeinen Formel (R1R2N)nSiH4-n (in der R1 und R2 im Bereich von H-, CH3-, C2H5- C3H7-, C4H9- liegen) durch eine plasmagestützte chemische Dampfabscheidung und thermische chemische Dampfabscheidung in Gegenwart von Ammoniak oder Stickstoff. Die hier beschriebenen Vorläufer sind tertiäre Amine und enthalten keine NH-Bindungen wie im Falle der Erfindung. Die Abscheidungsexperimente wurden in einem Ein-Wafer-Reaktor bei 400°C bei hohem Druck von 80 bis 100 Torr durchgeführt. Die Verhältnisse von Si zu N in diesen Filmen betrugen 0,9 (das Verhältnis von Si : N in Si3N4-Filmen beträgt 0,75) mit einem Wasserstoffgehalt in den abgeschiedenen Filmen. Der Butylrest liegt in Form von Isobutyl vor.
  • Sorita et al., J. Electro. Chem. Soc., Band 141, Nr. 12 (1994), S. 3505-3511, beschreiben die Abscheidung von Siliciumnitrid unter Verwendung von Dichlorsilan und Ammoniak in einem LPCVD-Verfahren. Die Hauptprodukte bei diesem Verfahren sind Aminochlorsilan, Siliciumnitrid und Ammoniumchlorid. Die Bildung von Ammoniumchlorid ist einer der größten Nachteile, wenn man Si-Cl enthaltende Vorläufer verwendet. Die Bildung von Ammoniumchlorid führt zur Teilchenbildung und zur Abscheidung von Ammoniumchlorid am hinteren Ende des Rohrs und sowie in den Rohrleitungen und dem Pumpsystem. Verfahren, die Chlor in den Vorläufern enthalten, führen zur Bildung von NH4Cl. Bei diesen Verfahren ist eine häufige Reinigung mit langen Abschaltzeiten der Reaktoren erforderlich.
  • B. A. Scott, J. M. Martinez-Duart, D.B. Beach, T. N. Nguyen, R. D. Estes und R.G. Schad., Chemtronics, 1989, Band 4, S. 230-234., berichten über die Abscheidung von Siliciumnitrid unter Verwendung von Silan und Ammoniak durch LPCVD im Temperaturbereich von 250 bis 400°C. Silan ist ein pyrophores Gas und lässt sich aufgrund einer partiellen Gasphasenreaktion zur Abscheidung von reinem Siliciumnitrid schwer steuern.
  • J. M. Grow, R. A. Levy, X. Fan und M. Bhaskaran, Materials Letters, 23 (1995), S. 187-193, beschreiben die Abscheidung von Siliciumnitrid unter Verwendung von Di-tert-butylsilan und Ammoniak durch ein LPCVD-Verfahren im Temperaturbereich von 600 bis 700°C. Die abgeschiedenen Siliciumnitridfilme waren mit Kohlenstoffverunreinigungen (10 Atom-%) verunreinigt. Dies ist hauptsächlich auf die Gegenwart direkter Si-C-Bindungen im Vorläufer zurückzuführen.
  • A. K. Hochberg und D. L. O'Meara, Mat. Res. Soc. Symp. Proc,. Band 204 (1991), S. 509-514, berichten über die Abscheidung von Siliciumnitrid und Siliciumoxynitrid unter Verwendung von Diethylsilan mit Ammoniak und Stickoxid durch LPCVD. Die Abscheidung wurde im Temperaturbereich von 650°C bis 700°C durchgeführt. Die Abscheidung ist auf eine Abscheidung bei 650°C beschränkt, und die Abscheidungsgeschwindigkeit fällt bei niedrigeren Temperaturen auf unter 4 Å/min. Beim LPCVD-Verfahren führen Vorläufer, die direkte Si-C-Kohlenstoffbindungen enthalten, zu einer Kohlenstoffverunreinigung in den Filmen. Eine kohlenstofffreie Abscheidung erfordert ein Verhältnis von NH3 zu Vorläufern von mehr als 5 : 1. Bei niedrigeren Ammoniakkonzentrationen stellte man fest, dass die Filme Kohlenstoff enthielten. Verfahren mit Diethylsilan plus Ammoniak erfordern typischerweise bedeckte Schiffchen oder Temperaturrampen, um die Gleichförmigkeit über die Wafer zu verbessern.
  • US-A-5,234,869 und R.G. Gordon und D. M. Hoffman, Chem. Mater., Band 2 (1990), S. 482-484, offenbaren weitere Versuche, die Menge der Aminosilanen mit Kohlenstoffbeteiligung zu verringern, wie z.B. tetrakis(Dimethylamino)silan. Die Abscheidungstemperatur liegt im Bereich von 300 bis 1000°C mit Drücken im Bereich von 1 mTorr bis 10 Torr. Man erwartete, dass die Gegenwart direkter Si-N-Bindungen und das Fehlen von Si-C-Bindungen geringere Kohlenstoffkonzentrationen in den Filmen ergeben würde. Jedoch gibt es bei Vorläufern dieser Klasse drei Hauptnachteile:
    • 1) Sie enthalten N-Methylgruppen. Die Methylgruppen neigen dazu, schnell an die Siliciumoberfläche zu wandern und die Filme während eines CVD-Verfahrens mit Kohlenstoff zu kontaminieren. Um die Kohlenstoffmenge zu verringern, beinhaltet das Verfahren hohe Temperaturen (mehr als 700°C) und hohe Ammoniakanteile (> 10 : 1). Bei erhöhten Ammoniakan teilen gehen die Abscheidungsgeschwindigkeiten aufgrund einer Verarmung an Reaktanten dramatisch zurück.
    • 2) Sie enthalten keine NH-Bindungen, und es sind keine sekundären Silane beteiligt.
    • 3) Bei niedrigeren Temperaturen sind die Abscheidungsgeschwindigkeiten und die Gleichförmigkeit sehr schlecht (> 5 %).
  • Im Stand der Technik hat man versucht, Siliciumnitridfilme bei niedrigen Temperaturen mit hohen Abscheidungsgeschwindigkeiten und geringer Verunreinigung durch Wasserstoff und Kohlenstoff herzustellen. Jedoch ist es dem Stand der Technik nicht gelungen, mit einem Siliciumvorläufer alle diese Ziele gleichzeitig zu erreichen. Die Erfindung hat die Probleme des Standes der Technik durch Verwendung eines Vorläufers gelöst, der allein zur Bildung von Siliciumnitrid bestimmt ist und die Probleme der Plasmaabscheidung vermeidet, bei niedrigen thermischen Bedingungen arbeitet, Si-C-Bindungen vermeidet, um die Kohlenstoffverunreinigung der resultierenden Filme zu verringern, eine geringe Wasserstoffverunreinigung aufweist, die Verunreinigung mit Chlor vermeidet und in einem herstellbaren Chargenofen (100 Wafer oder mehr) bei niedrigen Drücken (20 mTorr bis 2 Torr) arbeitet. Dies wird nachstehend detaillierter beschrieben.
  • Kurze Zusammenfassung der Erfindung
  • Bei der Erfindung handelt es sich um ein Verfahren zur chemischen Niedrigdruck-Dampfabscheidung von Siliciumnitrid auf ein Substrat unter Verwendung von Ammoniak und einem Silan der Formel (t-C4H9NH)2SiH2.
  • Vorzugsweise liegt die Temperatur des Substrats im Bereich von etwa 500 bis 800°C.
  • Vorzugsweise liegt der Druck im Bereich von etwa 20 mTorr bis 2 Torr.
  • Vorzugsweise ist das Molverhältnis von Ammoniak zu Silan größer als etwa 2 : 1.
  • Vorzugsweise ist das Substrat Silicium.
  • Vorzugsweise ist das Substrat eine elektronische Vorrichtung.
  • Alternativ ist das Substrat eine Flachbildanzeige.
  • Bei einer bevorzugten Ausführungsform ist die Erfindung eine chemische Niedrigtemperatur-Dampfabscheidung von Siliciumnitrid in einer Reaktionszone, umfassend die Schritte:
    • a) Erwärmen eines Substrats in dieser Zone auf eine Temperatur im Bereich von etwa 500 bis 800°C;
    • b) Halten des Substrats in einem Vakuum bei einem Druck im Bereich von etwa 20 mTorr bis 2 Torr in dieser Zone;
    • c) Einführen von Ammoniak und eines Silans der Formel (t-C4H9NH)2SiH2 in diese Zone; und
    • d) ausreichendes Halten der Bedingungen von a) bis c), damit ein Siliciumnitridfilm auf dem Substrat abgeschieden wird.
  • Detaillierte Beschreibung der Erfindung
  • Bei der Herstellung von Integrationsvorrichtungen im sehr großen Maßstab (Very Large Scale Integration = VLSI) werden viele verschiedene "Dünnfilme" verwendet. Diese abgeschiedenen Dünnfilme können aus Metallen, Halbleitern oder Isolatoren bestehen. Die Filme können thermisch gezüchtet oder unter Verwendung von LPCVD aus der Dampfphase abgeschieden werden. Die VLSI-Technologie erfordert sowohl bei verschiedenen Anwendungen in Mikroprozessoren als auch bei der Herstellung so genannter Random-Access-Memories sehr dünne Isolatoren. Als dielektrisches Material wurde überwiegend Siliciumdioxid verwendet, weil es sich leicht abscheiden lässt und über ausgezeichnete Eigenschaften an der Grenzfläche von SiO2/Si verfügt. Siliciumnitrid hat gegenüber Siliciumdioxid noch andere Vorteile, darunter gegen Verunreinigungen und Dotierungsmittel beständige Diffusionssperren, hohe dielektrische Durchschlagsspannungen, überlegene mechanische und inhärente Trägheit von Si3N4.
  • Bei der VLSI-Herstellung müssen viele verschiedene strenge chemische, strukturelle, verfahrenstechnische und elektrische Anforderungen erfüllt werden. Die Reinheit des Films, die Dicke, Gleichförmigkeit und die Abscheidungsgeschwindigkeiten sind einige der streng kontrollierten Parameter, um die Herstellung von Merkmalen im Submikronbereich in einer Vorrichtung zu erleichtern. Es ist ein wichtiger Vorteil bei der Herstellung und Leistung einer Vorrichtung, wenn das Abscheidungsverfahren bei Temperaturen unter 850°C durchgeführt werden kann. Siliciumquellenmaterialien für die Abscheidung von Siliciumnitrid unter LPCVD-Bedingungen bei diesen Temperaturen sind auf Silan und Dichlorsilan beschränkt. Ein sicheres, verlässliches Niedrigtemperatur-Siliciumnitridquellenmaterial kann auch in anderen Technologien Anwendung finden, z.B. bei Flachbildschirmanzeigevorrichtungen, anderen elektronischen und nichtelektronischen Substraten oder bei der Herstellung zusammengesetzter Halbleitervorrichtungen.
  • Bei der Erfindung geht es um bis(tert-Butylamino)silane als Klasse von Aminosilanen, die Siliciumnitrid bei unerwartet niedrigen Temperaturen mit überlegener Gleichförmigkeit abscheiden.
  • Das bis(tert-Butylamino)silan erfüllt folgende Formel: (t-C4H9NH)2SiH2.
  • Die abgeschiedenen Filme haben eine überlegene Gleichförmigkeit und sind frei von Verunreinigungen durch Ammoniumchlorid und Chlor. Das bis(tert-Butylaminosilan) hat offenbar die Eigenschaft, Siliciumnitrid bei 250 bis 300°C unter der Temperatur des Dichlorsilan plus Ammoniak-Verfahrens durch LPCVD abzuscheiden. Analoge Aminosilane, die Liganden enthalten, wie n-Butylamino und tetrakis(Dimethylamino)silan scheiden bei solchen niedrigen Temperaturen durch LPCVD keine kohlenstofffreien Filme ab, und die Filmgleichförmigkeit ist schlechter.
  • Die bemerkenswerten Vorteile von bis(tert-Butylamino)silan können auf die inhärente Eigenschaft von t-Butylaminoliganden in bis(tert-Butylamino)silan zurückzuführen sein. Während der Pyrolyse von bis(tert-Butylamino)silan kann sich der t-Butylligand leicht als Isobutylen eliminieren. Isobutylen ist eine sehr stabile, gute Fluchtgruppe und kontaminiert die Siliciumnitridfilme während der Abschei dung daher nicht. Im Vergleich zu den Dialkylaminosilanen sind tert-Butylaminogruppen aufgrund der Gegenwart der Stickstoff-Wasserstoff-Bindung (N-H) in der tert-Butylaminogruppe stärker basisch als Dialkylamine. Die Gegenwart der N-H-Bindung kann die labile β-Hydridübertragung zur Bildung von Diaminosilan und die Abspaltung der tert-Butylgruppe als Isobutylen erleichtern.
  • Weitere Vorteile des bis(tert-Butylamino)silans können wie folgt zusammengefasst werden:
    • 1) Es ist eine nichtpyrophore flüchtige stabile Flüssigkeit mit einem Dampfdruck von 7,5 Torr bei 40 bis 45°C.
    • 2) Es weist kein Chlor im Vorläufer auf. Die Si-Cl-Bindungen in Dichlorsilan führen zur Bildung von Ammoniumchlorid, das sich am hinteren Ende des Rohrs absetzt und eine häufige Reinigung erfordert.
    • 3) Der Vorläufer enthält keine direkten Si-C-Bindungen, und die resultierenden Siliciumnitridfilme waren, wie die Auger-Spektroskopie anzeigt, frei von Kohlenstoff.
    • 4) Die t-Butylaminoliganden verhalten sich als gute Fluchtgruppen zur Bildung von Isobutylen und lassen sich während der Pyrolyse leicht eliminieren. Dies ist vermutlich teilweise darauf zurückzuführen, dass die Verbindung eine N-H-Bindung hat. Dieser zusätzliche Vorteil hilft dabei, den gesamten Kohlenstoff sauber zu entfernen, ohne die abgeschiedenen Filme zu verunreinigen.
    • 5) Im Vergleich zu dem Verfahren mit Dichlorsilan und Ammoniak ergibt bis(tert-Butylamino)silan eine überlegene Gleichförmigkeit. Dies kann auf die Gegenwart des voluminösen t-Butylaminoliganden zurückzuführen sein. Die sterische Masse dieser Liganden unterstützt die erhöhte Mobilität der Moleküle an der Oberfläche des Substrats, was zu einer höheren Gleichförmigkeit führt.
    • 6) Im Vergleich zu anderen Aminen wie Diamino, Dimethylamino und anderen Alkylaminen kann die Abscheidungstemperatur bei Verwendung dieser Vorläufer unter 250 bis 300° liegen.
  • Tabelle 1 zeigt einen Vergleich anderer Vorläuferabscheidungstemperaturen, Vorläufer und Filmeigenschaften.
  • Tabelle 1
    Figure 00080001
  • Die bis(tert-Butylamino)silan-Verbindung ist auch wünschenswerter als das Di-tert-butylaminoanalogon für die vorstehend erörterten N-H-Bindungseigenschaften, und bis(tert-Butylamino)silan ist wünschenswerter als das mono-, tri- oder tetrakis(tert-Butylamino)analogon, weil das Monoanalogon instabil ist, das trisubstituierte Analogon signifikante Abgabeprobleme hat, das tetrakis(tert-Butylamino)silan-Analogon einen viel niedrigeren Dampfdruck hat und aufgrund der sterischen Masse der Liganden auf einem einzelnen Siliciumatom nicht leicht synthetisiert werden kann und deshalb ungeeignet für die kommerzielle Anwendung ist.
  • Um Siliciumnitridfilme zu bilden, lässt man das bis(tert-Butylamino)silan und Ammoniak bei erhöhter Temperatur (vorzugsweise 500 bis 800°C, aber die Temperatur könnte in diesem Bereich auch niedriger oder höher sein) im Reaktorrohr reagieren. Die Reaktion erfolgt entweder an der Oberfläche oder sehr nahe an der Oberfläche des Wafers, um einen dünnen Siliciumnitridfilm abzuscheiden. Wenn die Reaktion in der Gasphase abläuft (homogene Reaktion), dann bilden sich Cluster von Siliciumnitrid. Solche Fälle sind typisch beim Silan- und Ammoniakverfahren. Wenn die Reaktion nahe der Waferoberfläche abläuft, haben die resultierenden Filme eine überlegene Gleichförmigkeit. So ist eine wichtige Voraussetzung für die CVD-Anwendung der Grad, zu dem heterogene Reaktionen gegenüber Gasphasenreaktionen begünstigt werden.
  • Das CVD-Verfahren kann in a) ein Gasphasenverfahren und b) ein Oberflächenreaktionsverfahren gruppiert werden. Das Gasphasenphänomen ist die Geschwindigkeit, mit der Gase auf das Substrat auftreffen. Dargestellt wird dies durch die Geschwindigkeit, mit der Gase die Grenzschicht überqueren, die die voluminösen Bereiche von strömendem Gas und Substratoberfläche trennen. Solche Transportprozesse laufen durch Gasphasendiffusion ab, die proportional zur Diffusionsfähigkeit des Gases und dem Konzentrationsgradienten über die Grenzschicht ist. Mehrere Oberflächenprozesse können wichtig sein, wenn die Gase die heiße Oberfläche erreichen, aber die Oberflächenreaktion kann im Allgemeinen modellhaft durch ein thermisch aktiviertes Phänomen dargestellt werden, das mit einer Geschwindigkeit abläuft, die eine Funktion des Frequenzfaktors, der Aktivierungsenergie und der Temperatur ist.
  • Die Geschwindigkeit der Oberflächenreaktion steigt mit steigender Temperatur an. Bei einer bestimmten Oberflächenreaktion kann die Temperatur hoch genug steigen, so dass die Reaktionsgeschwindigkeit die Geschwindigkeit übersteigt, mit der Reaktantenspezies an der Oberfläche ankommen. In solchen Fällen kann die Reaktion nicht schneller ablaufen als die Geschwindigkeit, mit der die Reaktantengase dem Substrat durch Massentransport zugeführt werden. Dies bezeichnet man als ein durch Massentransport begrenztes Abscheidungsverfahren. Bei niedrigeren Temperaturen geht die Geschwindigkeit der Oberflächenreaktion zurück, und schließlich übersteigt die Konzentration der Reaktanten die Geschwindigkeit, mit der sie durch den Oberflächenreaktionsprozess verbraucht werden. Unter solchen Bedingungen wird die Abscheidungsgeschwindigkeit durch die Reaktionsgeschwindigkeit begrenzt. So ist die Abscheidung bei hohen Temperaturen üblicherweise durch den Massentransport beschränkt, während sie bei niedrigen Temperaturen durch die Geschwindigkeit der Oberflächenreaktion beschränkt ist. Bei tatsächlichen Verfahren hängt die Temperatur, bei der die Abscheidungsbedingungen von einem dieser Wachstumssysteme zum anderen wechseln, von der Aktivierungsenergie der Reaktion und den Gasströmungsbedingungen im Reaktor ab. So ist es schwierig, Verfahrensbedingungen oder Ergebnisse aus einem Drucksystem oder Temperatursystem auf das andere zu extrapolieren.
  • Bei Verfahren, die unter den Bedingungen einer Beschränkung durch die Reaktionsgeschwindigkeit ablaufen, ist die Temperatur des Verfahrens ein wichtiger Parameter. Das heißt, eine gleichmäßige Abscheidungsgeschwindigkeit überall in einem Reaktor erfordert Bedingungen, die eine konstante Reaktionsgeschwindigkeit aufrechterhalten. Dies bedeutet wiederum, dass auf allen Waferoberflächen eine konstante Temperatur herrschen muss. Andererseits ist unter solchen Bedingungen die Geschwindigkeit, mit der Reaktanten an die Oberfläche gelangen, nicht wichtig, da ihre Konzentration die Wachstumsgeschwindigkeit nicht beschränkt. Daher ist es nicht so kritisch, den Reaktor so zu konstruieren, dass der Reaktantenstrom zu allen Stellen einer Waferoberfläche gleich ist. Man sollte sich vor Augen führen, dass bei LPCVD-Reaktoren Wafer vertikal und sehr gering beabstandet gestapelt werden können, weil solche Systeme in einem durch die Reaktionsgeschwindigkeit begrenzten Modus arbeiten. Der Grund dafür ist folgender: unter dem niedrigen Druck eine LPCVD-Reaktors von weniger als 1 Torr nimmt die Diffusionsfähigkeit der Gasspezies gegenüber der bei atmosphärischem Druck um einen Faktor von 1000 zu; dies wird nur teilweise durch die Tatsache ausgeglichen, dass die Grenzschicht, der Abstand, über den die Reaktanten dif fundieren müssen, um weniger als die Quadratwurzel des Drucks zunimmt. Der Nettoeffekt ist, dass eine Steigerung um mehr als eine Größenordnung beim Transport der Reaktanten zu und der Nebenprodukte weg von der Substratoberfläche eintritt, und der die Geschwindigkeit begrenzende Schritt ist daher die Oberflächenreaktion.
  • Die Gegenwart der tert-Butylgruppe in bis(tert-Butylamino)silan unterstützt offenbar die Wege der Oberflächenreaktion, und daher haben die abgeschiedenen Filme im Vergleich zu anderen Verfahren selbst bei niedrigeren Temperaturen eine überlegene Gleichförmigkeit. Diese Filme wurden unter Verwendung eines nachstehend beschriebenen LPCVD-Heißwandreaktors abgeschieden.
  • Verfahren zur chemischen Niedrigdruck-Dampfabscheidung (LPCVD) beinhalten chemische Reaktionen, die im Druckbereich von 20 mTorr bis 2 Torr ablaufen können. Das chemische Dampfabscheidungsverfahren (CVD) kann mit folgender Schrittsequenz bei einer vorgegebenen Temperatur, vorgegebenem Druck und vorgegebenem Verhältnis der Reaktanten beschrieben werden:
    • 1) Die Reaktanten werden in die Reaktionskammer geleitet und können bei Bedarf mit Inertgasen verdünnt werden.
    • 2) Man lässt die Reaktanten zum Substrat diffundieren.
    • 3) Die Reaktanten werden auf dem Substrat adsorbiert, und die adsorbierten Moleküle durchlaufen eine Migration; und
    • 4) Die chemischen Reaktionen finden an der Oberfläche statt, und die gasförmigen Nebenprodukte der Reaktion werden desorbiert, so dass der abgeschiedene Film zurückbleibt. Die Reaktionen werden durch verschiedene Methoden in Gang gesetzt, z.B. thermisch oder durch Photonen. Im LPCVD-Verfahren verwendet man thermische Energie.
  • Horizontale Heißwandröhrenreaktoren werden am häufigsten für LPCVD bei der Herstellung von VLSI verwendet. Man setzt sie dazu ein, Poly-Si, Siliciumnitrid, undotierte und dotierte Siliciumdioxidfilme abzuscheiden. Diese Reaktoren werden umfassend eingesetzt, weil sie wirtschaftlich sind, einen hohen Durchsatz haben, die daraus abgeschiedenen Filme gleichförmig sind und weil sie Wafer mit einem großen Durchmesser (6 bis 12 Inches) aufnehmen können. Ihre Hauptnachteile sind die Anfälligkeit für Kontamination durch Teilchen und niedrige Abscheidungsgeschwindigkeiten.
  • Der isothermische LPCVD-Reaktor mit vertikalem Fluss kann ebenfalls für die Abscheidung von Siliciumdioxid verwendet werden. Hier kann die Reaktorkonfiguration die Reaktantenverarmung von Wafer zu Wafer vermeiden. Sie erfordert keine Temperaturrampen, erzeugt besonders gleichförmige Abscheidungen und erreicht, wie es heißt, eine sehr geringe Verunreinigung mit Teilchen.
  • Um Niedrigdruckbedingungen im Reaktor zu induzieren, ist ein geeignetes Vakuumsystem nötig. Für die erfindungsgemäßen Experimente bestand das Vakuumsystem aus einer Kombination aus Drehflügelpumpe/Roots-Gebläse und verschiedenen kalten Fallen. Der Reaktordruck wurde durch die Rückmeldung eines Kapazitätsmanometers an eine Steuerungseinheit für ein Drosselventil gesteuert. Die Reaktorbeladung bestand aus achtzig Siliciumwafern von 100 mm Durchmesser in einem Abstand von 9 mm in Standarddiffusionsschiffchen. Die Schiffchen waren auf einem Schlitten positioniert, so dass die Mittelpunkte der Wafer etwas oberhalb der Mitte des Reaktionsrohrs waren. Dadurch wird eine gleichmäßige Konduktanz um die Peripherien der Wafer erzeugt, indem man durch die Schiffchen und den Schlitten verursachte Konduktanzbeschränkungen kompensiert. Die Temperaturgleichmäßigkeit über die Waferbeladung für die vorgestellten Daten betrug ± 1°C, gemessen durch ein internes Thermopaar mit mehreren Berührungszonen. Die Gleichförmigkeit der Abscheidung über die Waferbeladung wird durch eine Temperaturrampe verbessert.
  • Unsere Abscheidungsexperimente wurden in einem horizontalen Röhrenreaktor durchgeführt, aber die Abscheidung bei diesem Vorläufer erfolgte in einem vertikalen Röhrenreaktor. Der Vorläufer wurde durch eine Öffnung nahe der Ladetür eingespeist. Auch Ammoniak wurde getrennt aus einer Öffnung nahe der Ofentür eingespeist.
  • Die Erfindung eines Verfahrens zur Abscheidung von im Wesentlichen reinen dünnen Siliciumnitridfilmen auf Siliciumwafern unter Verwendung eines bis(tert-Butylamino)silan-Vorläufers ist experimentell vorgestellt worden. Das bis(tert-Butylamino)silan ist eine nichtpyrophore flüchtige Flüssigkeit, die sicherer zu handhaben ist als Silan und Dichlorsilan. Das Abscheidungsverfahren wird vor zugsweise bei 20 mTorr bis 2 Torr im Temperaturbereich von vorzugsweise 500 bis 800°C unter Verwendung von Dämpfen von bis(tert-Butylamino)silan und Ammoniak durchgeführt. Gegebenenfalls kann ein inerter Gasverdünner wie Stickstoff oder Argon zur Verdünnung und zur Steuerung der Reaktionsgeschwindigkeit verwendet werden. Das molare Beschickungsverhältnis von bis(tert-Butylamino)silan zu Ammoniak ist vorzugsweise größer als 2 : 1.
  • Beispiel 1
  • Das Verfahren beinhaltet die Reaktion von bis(tert-Butylamino)silan mit Ammoniak unter LPCVD-Bedingungen (Niedrigdruckbereich von 20 mTorr bis 2 Torr). Der Vorläufer und Ammoniak werden über an der Tür positionierte Einspritzvorrichtungen in den erwärmten Reaktor (500 bis 800°C) eingeführt. Die Reaktanten lässt man über Wafer in der evakuierten Kammer fließen. Das Verhältnis von Ammoniak zur Siliciumquelle wird im Bereich von 2 : 1 bis 10 : 1 gehalten. Ein kontinuierlicher Film von Siliciumnitrid wird auf die Oberfläche eines Siliciumwafers abgeschieden. Diese Filme eignen sich für die Herstellung integrierter Schaltkreise. Ein typischer Durchlauf wurde in einem 150 mm horizontalen Heißwand-LPCVD-Rohrreaktor durchgeführt, obwohl die Konfiguration des Apparates nicht kritisch ist. Das Verfahren beinhaltet die Beladung des Quarzreaktors mit 75 bis 100 Siliciumwafern, das Evakuieren des Systems und die Erwärmung der Wafer auf die gewünschte Temperatur, bei der die Abscheidung durchgeführt wird. Die für diese Reaktion erforderliche Energie kann durch einfaches Widerstandsheizen zugeführt werden. Jedoch ist einfaches Widerstandsheizen vorteilhaft, weil die Anlage weniger kostspielig ist und man die Beschädigung des Films durch Strahlen, die bei Plasmareaktoren öfter auftritt, vermeidet.
  • Die Filme werden durch Infrarotspektroskopie und den Brechungsindex charakterisiert. Das FT-IR-Spektrum entspricht Siliciumnitridfilmen, die aus anderen bekannten Nitridvorläufern abgeschieden wurden, z.B. Dichlorsilan plus Ammoniak. Es gibt mäßige Absorptionsbanden im Si-H-Streckbereich bei 2100 cm–1 und eine starke Si-N-Streckung bei 834 cm–1. Die Brechungsindizes für diese Filme wurden durch Ellipsometrie bei 632,4 nm gemessen; die Brechungsindizes für diese Filme lagen im Bereich von 1,95 bis 2,01. Die Siliciumnitridfilme wurden durch eine Auger-Tiefenprofilanalyse charakterisiert. Auf ähnliche Weise wurde der Silicium-, Kohlenstoff-, Stickstoff- und Sauerstoffgehalt dieser Filme bestimmt. Die Zusammensetzung des Siliciumnitrids war 43 % Silicium und 57 Stickstoff. Die Zusammensetzung dieser Filme war in der ganzen Tiefe der Filme gleichförmig. Sauerstoff und Kohlenstoff lagen unter der Nachweisgrenze (< 2 Atom-%) der Auger-Spektroskopie.
  • Vergleichsdaten verschiedener analoger Vorläufer und des erfindungsgemäßen bis(tert-Butylamino)silans sind in Tabelle 2 aufgeführt.
  • Figure 00150001
  • Auf der Grundlage dieser Daten verwendete man den Dampfdruck der Vorläufer für die einfache Abgabe, die Abscheidungsgeschwindigkeiten, die Kohlenstoffverunreinigungen in den abgeschiedenen Filmen, die Abscheidetemperatur, die Verhältnisse von Silicium zu Stickstoff und die Brechungsindizes als Kriterien, um die unterschiedlichen Vorläufer zu vergleichen. Die höchsten Abscheidungsgeschwindigkeiten erzielte man unter Verwendung von Chemikalien, die N-H-Bindungen aufwiesen, bis(tert-Butylamino)silan, Di-t-butyldiaminosilan und tris(Ethylamino)ethylsilan. Von diesen erhielt man die Siliciumnitridfilme mit den geringsten Kohlenstoffverunreinigungen in den abgeschiedenen Filmen unter Verwendung von Chemikalien, die keine direkten Si-C-Bindungen hatten, nämlich bis(tert-Butylamino)silan und t-Butylaminosilandimer. Die gleichförmigsten Abscheidungen erhielt man bei Verwendung von Chemikalien, die t-Butylgruppen hatten, nämlich bis(tert-Butylamino)silan und Di-t-butyldiaminosilan. Angesichts dieser Kriterien ist bis(tert-Butylamino)silan ein unerwarteter Siliciumnitridvorläufer.
  • Die Erfindung wurde anhand einer bevorzugten Ausführungsform beschrieben, doch ihr voller Umfang ist den folgenden Ansprüchen zu entnehmen.

Claims (8)

  1. Verfahren zur chemischen Niedrigdruck-Dampfabscheidung von Siliciumnitrid auf ein Substrat unter Verwendung von Ammoniak und einem Silan der Formel (t-C4H9NH)2SiH2.
  2. Verfahren nach Anspruch 1, bei dem die Temperatur des Substrats im Bereich von etwa 500 bis 800°C liegt.
  3. Verfahren nach Anspruch 1, bei dem der Druck im Bereich von etwa 20 mTorr bis 2 Torr liegt.
  4. Verfahren nach Anspruch 1, bei dem das Molverhältnis von Ammoniak zu Silan größer als etwa 2 : 1 ist.
  5. Verfahren nach Anspruch 1, bei dem das Substrat Silicium ist.
  6. Verfahren nach Anspruch 1, bei dem das Substrat eine elektronische Vorrichtung ist.
  7. Verfahren nach Anspruch 1, bei dem das Substrat eine Flachbildanzeige ist.
  8. Chemische Niedrigtemperatur-Dampfabscheidung von Siliciumnitrid in einer Reaktionszone, umfassend die Schritte: a) Erwärmen eines Substrats in dieser Zone auf eine Temperatur im Bereich von etwa 500 bis 800°C; b) Halten des Substrats in einem Vakuum bei einem Druck im Bereich von etwa 20 mTorr bis 2 Torr in dieser Zone; c) Einführen von Ammoniak und eines Silans der Formel (t-C4H9NH)2SiH2 in diese Zone; und d) ausreichendes Halten der Bedingungen von a) bis c), damit ein Siliciumnitridfilm auf dem Substrat abgeschieden wird.
DE69834609T 1997-10-02 1998-09-30 Aus Bis (Tertiärbutylamino) Silan erhaltenes Siliziumnitrid Expired - Lifetime DE69834609T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US942996 1997-10-02
US08/942,996 US5874368A (en) 1997-10-02 1997-10-02 Silicon nitride from bis(tertiarybutylamino)silane

Publications (2)

Publication Number Publication Date
DE69834609D1 DE69834609D1 (en) 2006-06-29
DE69834609T2 true DE69834609T2 (de) 2007-05-03

Family

ID=25478939

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69834609T Expired - Lifetime DE69834609T2 (de) 1997-10-02 1998-09-30 Aus Bis (Tertiärbutylamino) Silan erhaltenes Siliziumnitrid

Country Status (8)

Country Link
US (1) US5874368A (de)
EP (1) EP0906965B1 (de)
JP (1) JP2962417B2 (de)
KR (1) KR100318978B1 (de)
DE (1) DE69834609T2 (de)
HK (1) HK1017390A1 (de)
SG (1) SG66493A1 (de)
TW (1) TW507017B (de)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6429149B1 (en) 2000-02-23 2002-08-06 International Business Machines Corporation Low temperature LPCVD PSG/BPSG process
US6268299B1 (en) * 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
DE10051382A1 (de) * 2000-10-17 2002-05-02 Texas Instruments Deutschland Verfahren zum Herstellen eines Stapels aus einer Si¶3¶N¶4¶-Schicht und einer darüberliegenden Si0¶2¶-Schicht auf einem Halbleitersubstrat
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
TW200424343A (en) * 2002-09-05 2004-11-16 Asml Us Inc Low temperature deposition of silicon based thin films by single-wafer hot-wall rapid thermal chemical vapor deposition
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US6963006B2 (en) * 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
EP1788118A3 (de) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermale chemische Dampfablagerung von Silikonnitrid
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
KR20060033468A (ko) * 2004-10-15 2006-04-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
JP2006165081A (ja) * 2004-12-03 2006-06-22 Fujitsu Ltd 半導体装置の製造方法および半導体装置
KR100593752B1 (ko) * 2005-01-18 2006-06-28 삼성전자주식회사 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2006351694A (ja) * 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP5149273B2 (ja) * 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6946989B2 (ja) * 2017-12-06 2021-10-13 住友電気工業株式会社 窒化珪素パッシベーション膜の成膜方法及び半導体装置の製造方法
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544287B2 (de) * 1966-04-29 1975-12-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen einer Schutzschicht aus Siliciumnitrid
US4777205A (en) * 1987-07-22 1988-10-11 Wacker Silicones Corporation Electrically conductive compositions
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP2819382B2 (ja) * 1993-12-14 1998-10-30 信越化学工業株式会社 室温硬化性オルガノポリシロキサン組成物

Also Published As

Publication number Publication date
TW507017B (en) 2002-10-21
KR19990036717A (ko) 1999-05-25
EP0906965A3 (de) 2000-12-06
EP0906965B1 (de) 2006-05-24
SG66493A1 (en) 1999-07-20
KR100318978B1 (ko) 2002-11-18
DE69834609D1 (en) 2006-06-29
JP2962417B2 (ja) 1999-10-12
JPH11172439A (ja) 1999-06-29
EP0906965A2 (de) 1999-04-07
US5874368A (en) 1999-02-23
HK1017390A1 (en) 1999-11-19

Similar Documents

Publication Publication Date Title
DE69834609T2 (de) Aus Bis (Tertiärbutylamino) Silan erhaltenes Siliziumnitrid
DE60112354T2 (de) Cvd-synthese von siliziumnitridmaterialien
Kane et al. Chemical vapor deposition of transparent electrically conducting layers of indium oxide doped with tin
US5976991A (en) Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
JP2769307B2 (ja) 二酸化ケイ素の非常に低い温度の化学蒸着法
DE602005002635T2 (de) Verfahren zur abscheidung von galliumoxidbeschichtungen auf flachglas
EP2507174B1 (de) Verfahren zur herstellung von halogenierten polysilanen
DE102014108352A1 (de) Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
DE19904311A1 (de) Verfahren zum Aufbringen eines kohlenstoffdotierten Dünnfilms aus Siliciumoxid auf ein Substrat
DE3516589C2 (de)
DE1771145B2 (de) Verfahren zur herstellung einer siliciumdioxidschicht
DE3510264A1 (de) Amorphes feinteiliges pulver und verfahren zur herstellung einer feinteiligen pulvermischung aus siliciumnitrid und siliciumcarbid
DE102005056446A1 (de) Siliciumpulver enthaltende Dispersion und Verfahren zur Beschichtung
DE1521605A1 (de) Verfahren zum Herstellen von Oxidfilmen auf Unterlagen
DE112007001558T5 (de) Organometallische Verbindungen mit sterisch gehinderten Amiden
DE1544287B2 (de) Verfahren zum Herstellen einer Schutzschicht aus Siliciumnitrid
DE60316903T2 (de) Mischungen von Metallsiloxiden als einzige Quelle
EP0219764B1 (de) Verbessertes Siliciumnitrid und Verfahren zu dessen Herstellung
DE102009022097B4 (de) Zusammensetzung und Verfahren zur Herstellung von ITO-Pulvern oder ITO-Beschichtungen
DE4241287A1 (de) Siliciumaluminiumnitridkeramik und Vorläuferverbindungen, Verfahren zu ihrer Herstellung sowie deren Verwendung
DE1621358A1 (de) Verfahren zur Aufbringung duenner Filme aus dielektrischem Material auf ein Substrat
DE2220807A1 (de) Verfahren und Vorrichtung zum Abscheiden von polykristallinen Duennfilmen aus Silicium und Siliciumdioxid auf Halbleitersubstraten
DE2447224A1 (de) Verfahren zum aufwachsen von pyrolitischen siliciumdioxidschichten
DE19506579C2 (de) Verfahren zur Herstellung von TiN-Schichten und die mit diesem Verfahren hergestellte Schicht
DE1959392C3 (de) Verwendung von mit inerten Stoffen geschützten Quarzampullen für die Herstellung und/oder Weiterverarbeitung von siliciumarmen 1I I-V-Halbleiterkörpern

Legal Events

Date Code Title Description
8363 Opposition against the patent
8365 Fully valid after opposition proceedings