KR19990036717A - 비스(3차부틸아미노)실란으로부터 얻은 질화규소 - Google Patents

비스(3차부틸아미노)실란으로부터 얻은 질화규소 Download PDF

Info

Publication number
KR19990036717A
KR19990036717A KR1019980040875A KR19980040875A KR19990036717A KR 19990036717 A KR19990036717 A KR 19990036717A KR 1019980040875 A KR1019980040875 A KR 1019980040875A KR 19980040875 A KR19980040875 A KR 19980040875A KR 19990036717 A KR19990036717 A KR 19990036717A
Authority
KR
South Korea
Prior art keywords
silane
silicon nitride
substrate
deposition
ammonia
Prior art date
Application number
KR1019980040875A
Other languages
English (en)
Other versions
KR100318978B1 (ko
Inventor
라비 쿠마르 락스만
데이비드 알렌 로버츠
아더 켄니스 호크베르그
헤르만 젠 호켄훌
펠리시아 디앤 카민스키
Original Assignee
마쉬 윌리엄 에프
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25478939&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR19990036717(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 마쉬 윌리엄 에프, 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 마쉬 윌리엄 에프
Publication of KR19990036717A publication Critical patent/KR19990036717A/ko
Application granted granted Critical
Publication of KR100318978B1 publication Critical patent/KR100318978B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

본 발명은 암모니아와 화학식 (t-C4H9NH)2SiH2인 실란으로부터 질화규소를 저압 화학 증착시키는 방법을 제공하는 것이며, 이 방법은 반도체 산업에 사용하기 위한 생성 필름에 개선된 특성을 부여한다.

Description

비스(3차부틸아미노)실란으로부터 얻은 질화규소
본 발명은 질화규소를 얻기 위한 신규 유기규소 공급 물질인 비스(3차부틸아미노)실란을 사용한 질화 규소 필름의 저압 화학증착에 관한 것이다.
반도체 장치 제작시, 질화규소(Si3N4)와 같이 화학적으로 불활성인 유전(誘電) 물질의 얇은 수동층이 필수적이다. 질화규소의 박층은 확산 마스크, 산화 방지벽, 트렌치 분리, 높은 유전 파괴 전압을 가지는 금속간 유전 물질 및 표면 안정화층으로서 작용한다. 반도체 장치 제작에서 사용되는 질화규소 피막의 많은 다른 용도에 대해서는 다음과 같은 문헌을 비롯한 여러 문헌에 보고되어 있다: 문헌[Semiconductor and Process technology handbook, 게리 이. 맥기르(Gray E. McGuire), Noyes Publication, 뉴저지(1988), p 289-301; 및 Silicon Processing for the VLSI ERA, 울프 (Wolf), 스텐리(Stanley) 및 탈버트(Talbert), 리차드 엔.(Richard N.), Lattice Press, Sunset Beach, 캘리포니아(1990), p20-22, 327-330] 참고.
현 반도체 산업의 표준 질화규소 성장 방법은 디클로로실란과 암모니아를 사용하여 >750℃의 고온 웰 반응기(hot wall reactor)내에서 저압 화학증착시키는 것이다.
여러 전구체를 사용하여 다수의 규소 웨이퍼상에 질화규소를 증착시켜왔다. 디클로로실란 및 암모니아를 사용한 저압 화학증착(LPCVD)은 적당한 성장 속도와 균일성을 얻기 위해서 750℃ 보다 높은 석출 온도를 요한다. 통상 가장 우수한 필름 특성을 얻기 위해 더 높은 증착 온도를 사용한다. 이 공정에는 몇가지 단점이 있으며, 이중 일부는 하기와 같다:
i) 850℃ 미만에서의 증착은 염소 및 입자 오염으로 불량한 뿌연 필름을 형성한다;
ii) 실란 및 디클로로실란은 인화성, 독성 압축기체이다;
iii) 디클로로실란으로부터 형성된 필름은 덜 균일한 필름을 형성시킨다; 및
iv) 디클로로실란으로부터 얻은 필름에는 부산물로서 형성되는 염소와 염화 암모늄 등의 오염물질이 있다.
일본 특허 6-132284는 암모니아 또는 질소의 존재하에 플라즈마 증가된 화학 증착과 열 화학 증착에 의해 화학식 (R1R2N)nSiH4-n(여기서 R1및 R2은 H-, CH3-, C2H5-, C3H7-, C4H9-)인 오르가노실란을 사용하여 질화규소를 증착시키는 방법을 개시하고 있다. 이 특허에 개시된 전구체는 3차 아민이며, 본 발명의 경우에서와 같이 NH 결합을 포함하지 않는다. 400℃ 및 80 Torr∼100 Torr의 고압하에 단일 웨이퍼 반응기에서 증착 실험을 수행하였다. 이들 필름에서 Si:N 비율은 0.9(Si3N4필름중 Si:N의 비율은 0.75임)이며 증착된 필름에는 수소가 함유되어 있다. 부틸라디칼은 이소부틸의 형태로 존재한다.
소리타(Sorita) 등의 문헌[J. Electro. Chem. Soc., Vol 141, No12, (1994), p 3505-3511]에는 LPCVD 방법을 이용하여 디클로로실란과 암모니아를 사용한 질화규소의 증착 방법을 개시하고 있다. 이 방법의 주 생성물은 아미노클로로실란, 질화규소 및 염화암모늄이다. Si-Cl 함유 전구체를 사용한 경우의 주요 단점은 염화암모늄이 형성된다는 것이다. 염화암모늄이 형성되면 관의 후부와 배관 라인 및 펌핑 시스템내에 염화암모늄 입자가 형성 및 석출된다. 전구체내에 염소를 함유하는 방법은 NH4Cl 형성을 초래한다. 이 방법은 종종 세정 단계를 요하며 반응기의 긴 휴지(休止)기간을 초래한다.
비. 에이. 스코트(B. A. Scott), 제이. 엠. 마르트네츠-듀어트(J. M. Martnez-Duart), 디. 비. 비치(D. B. Beach), 티. 엔. 누엔(T. N. Nguyen), 알.디. 이스티스(R. D. Estes) 및 알.지. 샤드(R. G. Schad)의 문헌[Chemtronics, 1989, Vol4, p230-234]에는 실란 및 암모니아를 사용하여 250℃∼400℃의 온도에서 LPCVD에 의해 질화규소를 증착시키는 방법을 개시하고 있다. 실란은 인화성 기체이므로, 부분 기체상 반응으로 인하여 순수한 질화규소의 증착을 조절하기 어렵다.
제이. 엠. 그로우(J. M. Grow), 알. 에이. 레비(R. A. Levy), 엑스. 판(X. Fan) 및 엠. 바스카란(M. Bhaskaran)의 문헌에는 디-3차부틸실란 및 암모니아를 사용하여 600℃∼700℃의 온도에서 LPCVD 공정에 의해 질화규소를 증착시키는 방법을 개시하고 있다. 증착된 질화규소 필름은 탄소 불순물(10 원자%)로 오염되었다. 이는 주로 전구체내의 직접적인 Si-C 결합의 존재에 기인하는 것이다.
에이. 케이. 호크베르그(A. K. Hochberg) 및 디. 엘. 오메라(D. L. O'Meara)의 문헌[Mat. Res. Soc. Symp. Proc, Vol.204, (1991), p509-514]에는 LPCVD에 의해 암모니아 및 산화질소와 디에틸실란을 사용하여 질화규소 및 옥시질화규소를 증착시키는 방법을 개시하고 있다. 증착은 650℃∼700℃ 온도 범위에서 수행하였다. 증착은 650℃에서의 증착으로 제한하였으며, 증착 속도는 더 낮은 온도에서 4Å/분으로 강하한다. LPCVD 방법에서, 직접적인 Si-C 탄소 결합을 함유하는 전구체는 필름에 탄소 오염물을 형성한다. 무탄소 증착은 NH3:전구체의 비율이 5:1 이상이어야 한다. 암모니아의 농도가 더 낮으면, 필름은 탄소를 함유하는 것으로 관찰되었다. 전형적으로 디에틸실란+암모니아 방법은 웨이퍼 전반에 균일성을 개선시키기 위해서 차단 보트 또는 온도 경사를 요한다.
미국 특허 5,234,869와 알.지. 고돈(R.G. Gordon) 및 디.엠. 호프만(D.M. Hoffman) 등의 문헌[Chem. Mater., Vol. 2, (1990), p482-484]에는 테트라키스(디메틸아미노)실란 등의 아미노실란에 포함된 탄소의 양을 감소시키는 시도를 개시하고 있다. 증착 온도 범위는 300℃∼1000℃이고 압력 범위는 1 mTorr∼10 Torr이다. 직접적인 Si-N 결합의 존재와 Si-N 결합의 부재는 필름중 탄소 농도를 낮출 것으로 예상하였다. 그러나, 이 부류의 전구체를 이용하면 하기와 같은 3가지 주요 단점이 있다.
1) 전구체는 N-메틸기를 함유하는데, 이 메틸기는 CVD과정에서 쉽게 규소 표면으로 이동하고 탄소로 필름을 오염시키는 경향이 있다. 탄소의 양을 감소시키기 위해서, 고온(>700℃) 및 높은 암모니아 비율(>10:1)로 공정을 수행한다. 암모니아 비율이 증가되면, 반응물 고갈로 인하여 증착 속도가 급격하게 감소한다.
2) 전구체가 NH 결합을 포함하지 않으며 2차 실란을 포함하지 않는다.
3) 저온에서 증착 속도 및 균일성이 매우 불량하다(>5%).
종래 기술에서는 저온, 고 증착 속도 및 낮은 수소와 탄소의 오염하에 질화규소 필름을 제조하려고 시도하였다. 그러나, 종래 기술에서는 하나의 규소 전구체를 사용하여 동시에 모든 목적을 달성하는데 실패하였다. 본 발명은, 플라즈마 증착의 문제점을 피하고, 저온 조건에서 조작되며, 생성 필름의 탄소 오염을 감소시키기 위해서 Si-C 결합을 피하고, 수소 오염이 적을 뿐만 아니라 염소 오염을 피하고, 제조가능한 배치로(100 웨이퍼 이상)의 저압(20 mTorr∼2 Torr)에서 조작되는 질화규소의 형성에 유일한 전구체를 사용하여 종래 기술의 문제점을 극복하였으며, 이는 하기에 상술되어 있다.
본 발명은 하기 화학식 1로 표시되는 실란과 암모니아를 사용하여 기판상에 질화규소를 저압 화학 증착시키는 방법을 제공하다.
(t-C4H9NH)2SiH2
기판의 온도 범위는 약 500℃∼800℃인 것이 바람직하다.
압력의 범위는 약 20 mTorr∼2 Torr인 것이 바람직하다.
암모니아:실란의 몰비는 약 2:1 이상인 것이 바람직하다.
기판은 규소인 것이 바람직하다.
기판은 전자 장치인 것이 바람직하다.
대안적으로, 기판은 평면 패널 디스플레이이다.
바람직한 일양태에서, 본 발명은 하기 a) 내지 d)의 단계를 포함하여, 반응 구간에 질화규소를 저온 화학 증착시킨다.
a) 상기 구간에서 약 500℃∼800℃의 온도로 기판을 가열하는 단계;
b) 상기 구간에서 압력이 약 20 mTorr∼2 Torr인 진공중에 기판을 유지하는 단계;
c) 화학식 (t-C4H9NH)2SiH2인 실란과 암모니아를 구간내로 도입하는 단계; 및
d) a) 내지 c)의 조건을 충분히 유지하여 질화규소의 필름을 기판상에 증착시키시는 단계.
각종 "박필름"은 대규모 집적화(Very Large Scale Integration; VLSI) 장치의 제작에 사용된다. 이 증착 박필름은 금속, 반도체 또는 절연체일 수 있다. 필름은 LPCVD를 사용하여 증기상으로부터 열적 성장 및 증착시킬 수 있다. VLSI 기술은 마이크로프로세서와 랜덤-액세스(random-access) 메모리 장치 제작시 각종 용도로 매우 얇은 절연체를 필요로한다. 이산화규소는, SiO2/Si 경계면에 우수한 특성와 증착의 용이성 때문에 유전 물질로서 널리 사용되어 왔다. 질화규소는 이산화규소 보다 유리하며, 일부 장점으로는 불순물 및 도펀트 저항 확산 방지막, 높은 유전 파괴 전압, 우수한 기구 및 Si3N4의 고유적인 불활성이 있다.
VLSI 제작시, 거대한 세트의 엄격한 화학적 요구 조건, 구조적 요구 조건, 방법 및 전기적 요구 조건을 만족시킬 필요가 있다. 필름의 순도, 두께, 균일성 및 증착속도는 장치의 1미크론 이하의 형태를 용이하게 제작하기 위해서 상당히 엄격하게 조절되는 변수이다. 증착 과정을 850℃ 미만의 온도에서 수행할 수 있다면 장치의 성능 및 제작에 두드러진 장점이 있다. 이들 온도에서 LPCVD 조건하에 질화규소를 증착시킬 수 있는 규소 공급 물질은 실란과 디클로로실란에 한정된다. 안정하고 확실한 저온 규화질소 공급원 물질은 기타 기술, 예컨대 평면 패널 디스플레이 장치, 기타 전자 및 비-전자 기판 또는 화합물 반도체 장치 제작 등에도 사용한다.
본 발명은 예상외로 우수한 균일성을 가지면서 저온에서 질화규소를 증착시키는 아미노실란의 부류로서의 비스(3차부틸아미노)실란에 관한 것이다.
비스(3차부틸아미노)실란은 하기의 화학식 1로 표시된다.
화학식 1
(t-C4H9NH)2Si(H)2
증착 필름은 우수한 균일성을 가지며 염화암모늄과 염소 오염물이 없다. 명백하게 비스(3차부틸아미노)실란은, LPCVD에 의한 디클로로실란+암모니아 방법의 온도보다 낮은 250℃∼300℃에서 질화 규소를 증착시킬 수 있는 특성을 가진다. 리간드, 예컨대 n-부틸아민과 테트라키스(디메틸아미노)실란을 함유하는 유사 아미노실란은, LPCVD에 의해 저온에서 무탄소 필름을 증착시키지 않으며, 필름의 균일성이 더 불량하다.
비스(3차부틸아미노)실란의 뚜렷한 장점은 비스(3차부틸아미노)실란중 t-부틸 아민 리간드의 고유 특성에 의한 것일 수 있다. 비스(3차부틸아미노)실란의 열분해 과정에서, t-부틸 아민 리간드는 이소부틸렌으로 용이하게 제거할 수 있다. 이소부틸렌은 매우 안정하고 우수한 이탈기이며, 따라서 증착과정에서 질화규소 필름을 오염시키지 않는다. 디알킬아미노실란과 비교하여, 3차부틸아미노기는 디알킬아민보다 더 염기성인데, 이는 3차부틸아미노기중 질소-수소 결합(N-H)이 존재하기 때문이다. N-H 결합의 존재는 불안정한 β-수소화물의 이동을 용이하게 하여 디아미노실란을 이소부틸렌으로 형성하고 3차부틸기를 절단시킬 수 있다.
비스(3차부틸아미노)실란의 기타 장점은 하기와 같이 요약할 수 있다.
1) 40℃∼45℃, 7.5 Torr의 증기압에서 비인화성이고 휘발성인 안정한 액체이다.
2) 전구체에 임의의 염소를 포함하지 않는다. 디클로로실란중 Si-Cl 결합은 관의 후부 말단에 증착된 염화암모늄을 형성시켜, 종종 세정 단계를 필요로 한다.
3) 전구체는 Si-C 결합을 포함하지 않으며, 생성 질화규소 필름은 오거(auger) 분광기로 알 수 있는 바와 같이 탄소가 없었다.
4) t-부틸 아미노 리간드는 우수한 이탈기로 작용하여 이소부틸렌을 형성하며 열분해 과정에서 쉽게 제거된다. 이는 부분적으로 화합물이 N-H 결합을 가지기 때문인 것으로 생각된다. 추가의 장점은 증착 필름을 오염시키지 않고 모든 탄소를 완전히 제거하도록 도울 수 있다는 것이다.
5) 디클로로실란 및 암모니아 공정과 비교하면, 비스(3차부틸아미노)실란은 우수한 균일성을 제공한다. 이는 벌크한 t-부틸 아미노 리간드의 존재에 기인하는 것이다. 입체적으로 벌크한 이들 리간드는 기판의 표면상에 분자의 이동 증가를 조력하여 높은 균일성을 초래한다.
6) 기타 아민, 예컨대 디아미노, 디메틸아미노 및 기타 알킬아민과 비교하여, 이들 전구체를 사용한 증착 온도는 250℃∼300℃로 더 낮게 할 수 있다.
기타 전구체 증착 온도, 전구체 및 필름 특성의 비교 결과가 표 1a 및 표 1b에 나타나있다.
전구체 증기압(Torr,약 ℃) 증착 온도(℃) 전구체 및 필름 특성
SiH4+HN3 상온에서 기체 200∼400플라즈마 방법 인화성 기체. 기체상 반응. 저온에서 규소 농축. 필름은 수소를 포함할 수 있다.
Cl2SiH2+NH3 상온에서 기체 >750 부식성 기체. 직접적인 Si-Cl 결합. 염소 오염.부산물로서 염화암모늄.
(C2H5)2SiH2+NH3 20℃에서 100 650∼725 불량한 균일성. 직접적인 Si-C 결합. 직접적인 Si-Cl 결합. >2%의 필름중 탄소 불순물. 케이지 보트(cage boat) 및 온도 경사가 필요할 수도 있음
(t-C4H9)2SiH2+NH3 20℃에서 20.5 600∼700 직접적인 Si-C 결합. 필름중 탄소 함유(10 원자%).
[(CH3)2N]3SiR+NH3R=H 또는 CH3 25℃에서 16 700∼1000 직접적인 Si-C 결합. 탄소가 >2%로 필름에 함유되고 높은 암모니아:공급원(30:1)의 비율을 요함. >5%의 불량한 균일성.
전구체 증기압(Torr,약 ℃) 증착 온도(℃) 전구체 및 필름 특성
(t-C4H9NH)2SiH2(본 발명의 전구체) 45℃에서 7.5 500∼1000 Si-C 결합이 없음, 탄소 오염 없음, 우수한 균일성, 및 높은 증착 속도.
(t-C4H9)2Si(NH2)2+NH3 39℃에서 2.1 600∼700 직접적인 Si-C결합. 필름중 탄소 오염.
또한 전술한 N-H 결합 특성면에서 비스(3차부틸아미노)실란 화합물은 디3차부틸아미노 유사체보다 더 바람직하며, 비스(3차부틸아미노)실란은 모노(3차부틸아미노)유사체, 트리(3차부틸아미노)유사체 또는 테트라키스(3차부틸아미노)유사체보다 더욱 바람직한 데, 그 이유는 모노 유사체는 불안정하고, 트리 치환 유사체는 전달에 상당한 문제가 있고, 테트라키스(3차부틸아미노)실란 유사체는 훨씬 낮은 증기압을 가지며, 단일 규소 원자상에 입체적으로 벌크한 리간드로 인하여 용이하게 합성할 수 없어 상업용으로 부적절하기 때문이다.
질화규소 필름을 형성하기 위해서, 비스(3차부틸아미노)실란과 암모니아를 상승 온도(500℃∼800℃가 바람직하지만, 이 범위 이상 또는 이하의 온도일 수 있다)의 반응관에서 반응시킨다. 반응은 질화규소 박필름을 증착시킬 웨이퍼 표면 바로 근처 또는 웨이퍼 표면에서 발생할 수 있다. 반응이 기체상에서 발생하는 경우(균일 반응), 질화규소의 클러스터가 형성된다. 이 경우는 실란과 암모니아 공정에서 전형적이다. 반응이 웨이퍼 표면 부근에서 발생하는 경우, 생성 필름의 균일성이 우수하다. 따라서, CVD 응용을 위해 중요한 조건중 하나는 불균일 반응이 기체상 반응보다 어느 정도 선호되느냐 하는 것이다.
CVD 방법은 a) 기체상 공정과 b) 표면 반응 공정으로 나눌 수 있다. 기체상 현상은 기체가 기판에 충돌하는 속도이다. 이 현상은 유동 기체의 벌크한 영역과 기판 표면을 분리하는 경계막을 기체가 통과하는 속도를 모델로 한다. 이 운반 공정은 기체-상 확산에 의해 발생하며, 경계층을 통한 농도 구배와 기체 확산에 비례한다. 기체가 고온 표면에 도달하는 경우 몇가지 표면 공정이 중요할 수 있으나, 일반적으로 표면 반응은 진동수 인자, 활성화 에너지 및 온도의 함수인 속도로 진행되는 열적 활성화 현상을 모델로 할 수 있다.
표면 반응 속도는 온도가 증가함에 따라 증가한다. 주어진 표면 반응의 경우, 온도를 충분히 상승시켜 반응 속도가 반응물 종이 표면에 도달하는 속도를 능가하도록 할 수 있다. 이 경우, 반응은 반응 기체가 물질 이동에 의해 기판에 공급되는 속도보다 더 급속하게 진행할 수 없다. 즉, 이것은 물질 이동이 증착 공정을 제한한다는 것을 의미한다. 더 낮은 온도에서는, 표면 반응 속도가 감소하고, 결국 반응물의 농도가 표면 반응 공정에서 소비되는 속도를 능가한다. 이 조건하에서, 증착 속도는 반응 속도를 제한하는 요소이다. 따라서, 일반적으로 고온에서의 증착은 물질 이동이 제한 요소인 반면, 낮은 온도에서 증착은 표면 반응 속도가 제한 요소가 된다. 실제 공정에서, 증착 조건을 하나의 성장 체계에서 다른 체계로 이동시키는 온도는 반응의 활성화 에너지와 반응기내의 기체 유동 조건에 따라 달라진다. 따라서, 압력 또는 온도 체계를 다른 체계로의 공정 조건 또는 결과를 외삽하여 추정하기 어렵다.
반응 속도 제한 조건하에서 조작되는 공정에서, 공정 온도는 중요한 변수이다. 즉, 반응기 전반에 걸쳐 균일한 증착 속도를 얻기 위해서는 일정한 반응 속도를 유지하는 조건이 필요하다. 또한, 이는 일정한 온도가 모든 웨어퍼 표면 어디에서나 존재해야 한다는 것을 의미한다. 한편, 이 조건하에서 반응물이 표면에 도달하는 속도는 중요하지 않은 데, 왜냐하면 이 농도는 성장 속도를 제한하지 않기 때문이다. 따라서, 필수적으로 반응기는 웨이퍼 표면의 모든 위치에 반응물의 동일한 플럭스를 공급하도록 고안되어야 하는 것은 아니다. LPCVD 반응기에서 시스템은 반응 속도 제한 방식으로 조작되기 때문에 웨이퍼를 수직으로 이격을 매우 작게하여 쌓을 수 있다는 것을 인지해야 한다. 그 이유는 하기와 같다: 저압 ∼1torr의 LPCVD 반응기에서, 기체 종의 확산은 대기압에서 보다 1000 배 증가하며, 이는 반응물이 확산되어야만 하는 거리인 경계층이 압력의 제곱근 미만으로 증가한다는 사실에 의해 부분적으로만 상쇄된다. 순 효과는 기판 표면에 반응물을 전달하고 기판표면으로 부터 멀리 부산물을 전달시 일정 증가 범위 이상으로 나타나며, 따라서 반응 속도 제한 단계는 표면 반응이다.
비스(3차부틸아미노)실란의 3차 부틸기의 존재는 표면 반응 경로를 조력하는 것이 분명하며, 따라서 증착 필름이 저온에서도 기타 방법과 비교할 때 우수한 균일성을 부여한다. 이들 필름은 하기와 같이 LPCVD 고온 웰 반응기를 사용하여 증착시켰다.
저압 화학 증착 과정(LPCVD)은 20 mTorr∼2 Torr의 압력 범위에서 발생하는 화학 반응을 포함한다. 화학 증착(CVD) 과정은 주어진 온도, 압력 및 반응물의 비율에서 후술한 단계에 따라 수행할 수 있다.
1) 반응물을 반응 챔버내로 도입하고 필요에 따라 불활성 기체로 희석할 수 있다;
2) 반응물을 기판으로 확산시킨다;
3) 반응물을 기판상에 흡착시키고, 흡착된 분자를 이동시킨다; 및
4) 화학 반응이 표면상에 발생하고, 반응물의 기체 부산물을 탈착시켜 증착 필름에 남지 않게 한다. 반응은 몇가지 방법, 예컨대 열적 방법 또는 광자 방법에 의해 개시한다. 열 에너지를 LPCVD 공정에 사용한다.
수평 튜브 고온 웰 반응기는 VLSI 제조시 LPCVD를 위해 가장 널리 사용된다. 이 반응기는 폴리-Si, 질화규소, 비도핑 또는 도핑 이산화규소 필름을 증착시키는데 사용한다. 이들 반응기는, 경제적이고, 높은 처리량을 가지며, 이 반응기를 이용한 증착 필름이 균일하고, 거대 직경의 웨이퍼(6"∼12")를 설치할 수 있기 때문에 널리 사용된다. 이 반응기의 주요 단점은 쉽게 미립자로 오염되고 증착 속도가 낮다는 것이다.
또한 수직 유동 등온 LPCVD 반응기를 사용하여 이산화규소를 증착시킬 수 있다. 여기서, 반응기 구조는 웨이퍼-대-웨이퍼 반응물 고갈 효과는 피할 수 있다. 이 반응기는 온도 경사를 필요로 하지 않으며, 대단히 균일한 증착물을 형성하고 보고된 바에 의하면 미립자 오염이 적다.
반응기 내에 저압 조건을 유도하기 위해서, 적절한 진공 시스템이 필요하다. 본 실험에 사용되는 진공 시스템은 회전식 베인 펌프/루트 통기장치 조합체와 각종 냉각 트랩을 포함한다. 반응 압력은 조리개 밸브 조절기에 대한 정전용량 압력계 피드백에 의해 조절된다. 반응기 적재물은 표준 확산 보트내의 9 mm의 이격 공간에 80개의 100 mm 직경 규소 웨이퍼로 구성되어 있다. 보트는 슬레드(sled)상에 위치하므로, 웨이퍼 중심부는 반응 튜브 중심보다 약간 위에 존재하였다. 이는 보트 및 슬레드에 의해 유발되는 콘닥턴스 제한을 상쇄하므로써 웨이퍼 주변부에 균일한 콘닥턴스를 형성한다. 내부 다중-접합부 열전기쌍에 의해 측정하면 주어진 자료에 대한 적재 웨이퍼를 통한 온도 균일성은 ± 1℃이었다.
웨이퍼 적재하의 증착 균일성은 온도 경사에 의해 개선된다.
본 증착 실험은 수평 튜브 반응기에서 수행하였으나, 전구체를 사용한 증착은 수직 튜브 반응기에서도 발생할 것이다. 전구체는 적재부 입구 부근의 개방 포트를 통해 공급하였다. 또한 암모니아는 별개의 노(盧) 입구 근처 포트로 부터 공급하였다.
비스(3차부틸아미노)실란 전구체를 사용하여 규소 웨이퍼상에 실질적으로 순수한 얇은 질화규소 필름을 증착시키는 본 방법을 실험적으로 입증하였다. 비스(3차부틸아미노)실란 전구체는 비-인화성 휘발성 액체이며 실란과 디클로로실란 보다 취급하기 안전하다. 증착 과정은, 비스(3차부틸아미노)실란과 암모니아의 증기를 사용하여 바람직하게는 500℃∼800℃의 온도, 바람직하게는 20 mTorr∼2 Torr의 압력에서 수행한다. 임의적으로, 불활성 기체 희석제, 예컨대 질소 또는 아르곤을 사용하여 희석하고 반응 속도를 조절할 수 있다. 암모니아:비스(3차부틸아미노)실란의 몰 공급 비율은 2:1 보다 큰 것이 바람직하다.
실시예 1
본 공정은 LPCVD 조건(20 mTorr∼2 Torr의 저압)하에 암모니아와 비스(3차부틸아미노)실란을 반응시킨다. 전구체와 암모니아를 입구에 배치된 분사기를 통해 가열된 반응기(500℃∼800℃)내로 도입시킨다. 진공 챔버내에 웨이퍼상으로 반응물을 유동시킨다. 암모니아:규소 공급원을 2:1∼10:1의 비율로 유지한다. 질화규소의 연속 필름을 규소 웨이퍼 표면에 증착시킨다. 이들 필름은 집적회로 제조에 적절하다. 통상적인 조작은 150 mm 고온 웰 LPCVD 수평 튜브 반응기에서 수행하였지만, 장치의 구조가 중요하지는 않다. 이 공정은 석영 반응기에 75∼100개의 규소 웨이퍼를 적재하는 단계; 시스템을 배기시키는 단계; 증착을 수행할 수 있는 원하는 온도에 웨이퍼를 두는 단계를 포함한다. 이 반응을 위해 필요한 에너지는 단순한 저항 가열로 공급할 수 있다. 그러나, 단순한 저항 가열은 장치 비용이 덜 들고, 종종 플라즈마 반응기와 관련된 방사 필름 손상을 피할 수 있기 때문에 유리하다.
필름은 적외선 분광기와 굴절율로 특성화한다. FT-IR 스펙트럼은 알려진 기타 질화물 전구체, 예 디클로로실란+암모니아로 증착시킨 질화규소 필름과 일치한다. 2100 ㎝-1의 Si-H 연신 영역에 중간 흡착 밴드가 있고, 834 ㎝-1의 Si-N 연신 영역에서 강한 흡착 밴드가 있다. 이들 필름의 굴절율은 632.4 nm에서 타원편광법으로 측정하였고 필름의 굴절율 범위는 1.95∼2.01이다. 질화규소 필름은 오거 깊이 프로필 분석으로 특성화하였다. 이들 필름의 규소, 탄소, 질소 및 산소 함량을 측정하였다. 질화규소 조성은 43%가 규소이고 57%가 질소였다. 이들 필름의 조성은 필름의 깊이에서 두루 균일하였다. 산소와 탄소는 오거 분광계의 검출 한계치(<2 원자%) 아래에 있었다.
몇가지 유사 전구체와 본 발명의 비스(3차부틸아미노)실란의 비교 자료가 하기 표 2a, 표 2b 및 표 2c에 개시되어 있다.
전구체 화학식 몰(중량) 증기
증기압 T℃
비스(디메틸아미노)실란 H2Si(N[CH3]2)2 118.25 >10 27
트리스(디메틸아미노)실란 HSi(N[CH3]2)3 161.32 8 29
비스(디에틸아미노)실란 H2Si(N[C2H5]2)2 174.36 14 65.4
비스(t-부틸아미노)실란 H2Si(NHC4H9)2 174.36 7.5 45
디-t-부틸디아미노실란 (C4H9)2Si(NH2)2 174.36 2.1 39
트리스(에틸아미노)에틸실란 C2H5Si(NHC2H5)3 189.38 54
테트라키스(디메틸아미노)실란 Si(N[CH3]2)4 204.39 7.3 51
공급원 sccm 온도℃ 압력mTorr NH3/공급원 증착 속도 ang/분 굴절율
22.0 600650 600 6.0 5.5 2.00
21.621.621.6 600650700 600600600 6.06.06.0 01222 1.751.89
48.338.6 550600 300500 00 1516 1.652.00
22.022.022.0 600650700 600600600 6.06.06.0 1458124 1.961.951.96
공급원 sccm 온도℃ 압력mTorr NH3/공급원 증착 속도 ang/분 굴절율
21.018.626.021.0 600650650700 600600600600 6.37.15.16.3 124357130 1.871.931.941.99
11.011.023.011.023.0 600650650700700 600600600600600 4.04.06.04.06.0 9304762105 1.731.871.881.931.94
34.3 600 500 0 0
이 자료를 근거로, 전달이 용이한 전구체의 증기압, 증착 속도, 증착된 필름상의 탄소 불순물, 증착 온도, 규소:질소의 비율 및 굴절율을, 여러 전구체를 비교하기 위한 기준으로 사용하였다. N-H 결합을 가진 화학 물질, 즉 비스(3차부틸아미노)실란, 디-t-부틸디아미노실란 및 트리스(에틸아미노)에틸 실란을 사용한 경우 가장 높은 증착 속도는 얻었다. 물론, 직접적인 Si-C 결합이 없는 화학물질, 즉 비스(3차부틸아미노)실란과 t-부틸아미노실란 이량체를 사용한 경우, 증착 필름상에 탄소 불순물이 가장 적은 질화규소 증착 필름을 얻었다. 가장 균일한 증착물은 t-부틸기를 가진 화학 물질, 즉 비스(3차부틸아미노)실란 및 디-t-부틸아미노실란을 사용하여 얻었다. 이 기준을 고려하면, 비스(3차부틸아미노)실란은 예상외로 우수한 질화규소 전구체이다.
본 발명은 바람직한 일양태에 관하여 개시하였으나, 본 발명의 범위는 하기 청구항에 의해 확정되어야 한다.
본 발명은 비스(3차부틸아미노)실란을 사용하여 증착 속도가 높고, 탄소 불순물이 적으며, 증착이 균일한 질화규소 필름을 얻을 수 있다.

Claims (8)

  1. 암모니아와 하기 화학식 1로 표시되는 실란을 사용하여 기판상에 질화규소를 저압 화학 증착시키는 방법.
    화학식 1
    (t-C4H9NH)2SiH2
  2. 제1항에 있어서, 기판의 온도 범위는 약 500℃∼800℃인 방법.
  3. 제1항에 있어서, 압력의 범위는 약 20 mTorr∼2 Torr인 방법.
  4. 제1항에 있어서, 암모니아:실란의 몰비는 약 2:1 보다 큰 방법.
  5. 제1항에 있어서, 기판은 규소인 방법.
  6. 제1항에 있어서, 기판은 전자 장치인 방법.
  7. 제1항에 있어서, 기판은 평면 패널 디스플레이인 방법.
  8. a) 반응 구간에서 약 500℃∼800℃의 온도로 기판을 가열하는 단계;
    b) 반응 구간에서 압력이 약 20 mTorr∼2 Torr인 진공중에 기판을 유지하는 단계;
    c) 화학식 (t-C4H9NH)2SiH2인 실란과 암모니아를 반응 구간내로 도입하는 단계; 및
    d) a) 내지 c)의 조건을 충분히 유지하여 질화규소의 필름을 기판상에 증착시키는 단계를 포함하는 것을 특징으로 하는, 반응 구간내에 질화규소의 저온 화학 증착.
KR19980040875A 1997-10-02 1998-09-30 비스(3차부틸아미노)실란을이용한질화규소의화학증착방법 KR100318978B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/942,996 1997-10-02
US08/942,996 1997-10-02
US08/942,996 US5874368A (en) 1997-10-02 1997-10-02 Silicon nitride from bis(tertiarybutylamino)silane

Publications (2)

Publication Number Publication Date
KR19990036717A true KR19990036717A (ko) 1999-05-25
KR100318978B1 KR100318978B1 (ko) 2002-11-18

Family

ID=25478939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR19980040875A KR100318978B1 (ko) 1997-10-02 1998-09-30 비스(3차부틸아미노)실란을이용한질화규소의화학증착방법

Country Status (8)

Country Link
US (1) US5874368A (ko)
EP (1) EP0906965B1 (ko)
JP (1) JP2962417B2 (ko)
KR (1) KR100318978B1 (ko)
DE (1) DE69834609T2 (ko)
HK (1) HK1017390A1 (ko)
SG (1) SG66493A1 (ko)
TW (1) TW507017B (ko)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6429149B1 (en) 2000-02-23 2002-08-06 International Business Machines Corporation Low temperature LPCVD PSG/BPSG process
US6268299B1 (en) * 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
DE10051382A1 (de) * 2000-10-17 2002-05-02 Texas Instruments Deutschland Verfahren zum Herstellen eines Stapels aus einer Si¶3¶N¶4¶-Schicht und einer darüberliegenden Si0¶2¶-Schicht auf einem Halbleitersubstrat
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
TW200424343A (en) * 2002-09-05 2004-11-16 Asml Us Inc Low temperature deposition of silicon based thin films by single-wafer hot-wall rapid thermal chemical vapor deposition
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US6963006B2 (en) * 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
EP1788118A3 (en) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
KR20060033468A (ko) * 2004-10-15 2006-04-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
JP2006165081A (ja) * 2004-12-03 2006-06-22 Fujitsu Ltd 半導体装置の製造方法および半導体装置
KR100593752B1 (ko) * 2005-01-18 2006-06-28 삼성전자주식회사 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2006351694A (ja) * 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP5149273B2 (ja) * 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6946989B2 (ja) * 2017-12-06 2021-10-13 住友電気工業株式会社 窒化珪素パッシベーション膜の成膜方法及び半導体装置の製造方法
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544287B2 (de) * 1966-04-29 1975-12-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen einer Schutzschicht aus Siliciumnitrid
US4777205A (en) * 1987-07-22 1988-10-11 Wacker Silicones Corporation Electrically conductive compositions
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP2819382B2 (ja) * 1993-12-14 1998-10-30 信越化学工業株式会社 室温硬化性オルガノポリシロキサン組成物

Also Published As

Publication number Publication date
TW507017B (en) 2002-10-21
EP0906965A3 (en) 2000-12-06
EP0906965B1 (en) 2006-05-24
SG66493A1 (en) 1999-07-20
KR100318978B1 (ko) 2002-11-18
DE69834609D1 (en) 2006-06-29
JP2962417B2 (ja) 1999-10-12
JPH11172439A (ja) 1999-06-29
EP0906965A2 (en) 1999-04-07
US5874368A (en) 1999-02-23
HK1017390A1 (en) 1999-11-19
DE69834609T2 (de) 2007-05-03

Similar Documents

Publication Publication Date Title
KR100318978B1 (ko) 비스(3차부틸아미노)실란을이용한질화규소의화학증착방법
EP0964441B1 (en) Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino)silane
US7122222B2 (en) Precursors for depositing silicon containing films and processes thereof
US5744196A (en) Low temperature deposition of silicon dioxide using organosilanes
EP1630249A2 (en) Process for chemical vapor deposition of silicon nitride.
KR101470067B1 (ko) 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법
KR960011015B1 (ko) 유기디실란 소오스를 사용하여 저압 화학적 증착에 의해 100°c 정도의 저온에서 이산화규소막을 증착하는 방법
US7964513B2 (en) Method to form ultra high quality silicon-containing compound layers
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
US20050181633A1 (en) Precursors for depositing silicon-containing films and processes thereof
US20050227017A1 (en) Low temperature deposition of silicon nitride
US20070031598A1 (en) Method for depositing silicon-containing films
KR20060118358A (ko) Cvd 탄질화 규소 필름을 위한 전구체
TWI246719B (en) Low temperature deposition of silicon nitride
KR20050018641A (ko) 아미노실란 및 오존을 이용한 저온 유전체 증착
US20060198958A1 (en) Methods for producing silicon nitride films by vapor-phase growth

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 17

EXPY Expiration of term