TW507017B - Silicon nitride from bis(tertiarybutylamino)silane - Google Patents

Silicon nitride from bis(tertiarybutylamino)silane Download PDF

Info

Publication number
TW507017B
TW507017B TW087116112A TW87116112A TW507017B TW 507017 B TW507017 B TW 507017B TW 087116112 A TW087116112 A TW 087116112A TW 87116112 A TW87116112 A TW 87116112A TW 507017 B TW507017 B TW 507017B
Authority
TW
Taiwan
Prior art keywords
silane
substrate
silicon nitride
patent application
ammonia
Prior art date
Application number
TW087116112A
Other languages
English (en)
Inventor
Ravi Kumar Laxman
David Allen Roberts
Arthur Kenneth Hochberg
Herman Gene Hockenhull
Felicia Diane Kaminsky
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25478939&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW507017(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Application granted granted Critical
Publication of TW507017B publication Critical patent/TW507017B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

507017 A7 B7 '—___ _______________________—— _ 五、發明説明(1 ) 發明背景 本發明是關於使用新穎之氮化矽有機矽源材料[雙(叔 丁基胺基)矽烷]進行低壓化學氣相沉積氮化矽膜的領域。 在半導體元件的製作中,化學惰性絕緣材料,例如氮 化矽(Si3N4)的鈍薄膜非常關鍵,此氮化矽薄膜可具有以下 作用:擴散掩膜,氧化阻擋層,隔離溝槽,高絕緣擊穿電 壓的金屬間的絕緣材料和鈍化層的作用。在其它地方報導 了氮化矽塗層在半導體元件製作中的許多其他應用,參見 新澤西諾伊斯出版社1988年出版,由加里編輯的”半導體及 製程技術手冊π,289-301頁;和加利福尼亞落日海灘Lattice 出版社1990年出版,由沃爾夫,斯坦利和泰爾貝特,理查 德所著的’’Processing for the VLSI ERA,’,20-22頁,327-3 30 頁。 目前半導體工業標準的氮化矽生長方法是使用二氯矽 烷和氨在高於750°C下在熱壁反應器內進行低壓化學氣相 沉積。 經浐部中决標率.^M工消贽告作ii印f (請先閱讀背面之注意事項再填寫本頁) 用許多前體(Precursor)皆可實現把氮化矽沉積到大量 矽片上。使用二氯矽烷和氨的低壓化學氣相沉積(LPCVD) 要求沉積溫度高於750°C,以獲得適當的生長速度和均勻 性。一般使用更高的沉積溫度是要獲得最好的薄膜性能。 在這些工藝中存在一些缺點,其中一些缺點如下: i) 由於氯和顆粒污染,低於850°C的沉積會產生低劣的霧 狀薄膜; ii) 矽烷與二氯矽烷是易燃、有毒的壓縮氣體; 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507017 A7 __ B7 五、發明説明(2 ) ni)由二氯矽烷形成的薄膜會導致薄膜均勻性的降低;以 及 (請先閲讀背面之注意事項再填寫本頁) iv)由二氯矽烷形成的薄膜會產生污染物,如氯和氯化 錢。 曰本專利:[P-6-132284描述了氮化矽的沉積,方法是使 用通式爲(UNhSiHm (其中Ri,R2的範圍是H-,CH3-,C2H5-, C3H7-,C4H9-)的有機矽烷,在氨或氮的存在下通過電漿體增 強化學氣相沉積和熱化學氣相沉積。這裡所述的前體是叔 胺類,而不是本發明不含NH鍵的情況。沉積實驗是在400 °C和80-100托(torr)的高壓下單片反應器中進行。這些薄膜 中的Si:N比爲0.9 (在Si3N4薄膜的Si:N比爲0.75),並且在沉 積膜中有氫的含量。丁基爲異丁基形式。 經溁部中次樣準^1h;_T消贽合竹私印象
Sorita等在"電化學會雜誌”,141卷,12期(1994), 3505-35 1 1頁中描述了使用二氯矽烷和氨的LPCVD製程沉 積氮化矽。該製程中的主產品是胺基氯化矽烷、氮化矽和 氯化銨。生成氯化銨是使用含Si-Cl前體的一個主要缺點。 氯化銨的生成導致顆粒的形成,及氯化銨在管末端、管路 內及泵系統中的沉積。前體內含氯的製程導致NH4C1的形 成。這些製程要求經常淸洗反應器,因而導致反應器長時 間停機。 B. A. Scott,J. M. Martnez-Duart,D. B. Beach,Τ· N. Nguyen,R. D. Estes和 R. G. Schad.在 π電化學轉換術 ”((1!1161111:]:〇1^8),1989,4卷,230-234頁中報導了用5夕院和 氨通過LPCVD在250-400°C溫度範圍內沉積氮化矽。矽烷是 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507017 經淤部中央樣導^π〈,τ消贽合作ii印顰 A7 B7 五、發明説明(3 ) 一種易燃氣體,並且由於是部份氣相反應,很難控制使之 得到純氮化矽的沉積。 J. M. Grow,R. A. Levy,X. Fan和 M. Bhaskaran在"材料 快報”,23,(1995),187-193頁中描述了用二叔丁基矽烷和 氨通過LPCVD工藝在600-700°C溫度範圍內沉積氮化矽。沉 積的氮化矽薄膜有碳雜質(10個原子% )污染。這主要是由 於前體內存在Si-C鍵結。 A. K. Hochberg和 D. L. O’Meara在 Mat. Res. Soc. Symp. Proc·,204卷,(1991),509-5 14頁中報導了使用二乙基矽烷 及氨和氮氧化物通過LPCVD沉積氮化矽與矽氧氮化物。沉 積是在650-700°C的溫度範圍內進行的。該沉積被限制在 650°C進行,而在更低溫度下,沉積速度降低到4A/min以 下。在LPCVD工藝中,含Si-C碳鍵結的前體導致薄膜內的 碳污染。無碳沉積要求1^113與前體的比大於5:1。在較低氨 濃度時,發現薄膜含碳。二乙基矽烷和氨製程一般要求有 帶蓋的舟皿或溫度梯度以改善晶片內的均勻性。 美國專利USP 5234869 及 R. G. Gordon 和 D. M. Hoffman 在 Chem· Mater·,2卷,(1990),482-484 頁,公開了其他方 式,試圖降低含碳量,其方法涉及了胺基矽烷類,如四(二 甲胺基)矽烷。沉積的溫度在300-100(TC的範圍內,壓力在 1毫托-10托的範圍內。期望存在Si-N鍵結而沒有Si-C鍵結以 便得到薄膜內較低的碳濃度。但是,這類前體有三個主要 缺點。 1)它們含N-甲基基團,在CVD製程中甲基基團很容易傾向 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁} 衣.
、1T 507017 A7 B7 〜 〜一一 _________-_____________________ 五、發明説明(β ) 4 (請先閱讀背面之注意事項再填寫本頁) 於遷移至矽表面並以碳污染薄膜。爲了降低碳量,該製程 使用高溫(>700)和高氨比(〉10:1)。用增大的氨比,由反應 劑的耗盡而大幅度降低沉積速度。 2) 它們不含ΝΗ鍵,且它們不涉及二級矽烷。 3) 在較低溫度下,沉積速度和均勻性非常差(>5%)。 現有技術試圖在低溫下,以高沉積速度和低氫與碳污 染下生產氮化矽膜。但是,以現有技術,使用一種矽前體, 同時又可達到所有這些目標方面還沒有成功。本發明利用 一種獨有的前體形成氮化矽方法,克服了現有技術的問 題,這種前體的使用可避免電漿沉積的問題,可在低溫條 件下操作,避免生成Sl_C鍵進而減少所得薄膜上的碳污 染,它具有低氫污染,以及避免了氯污染,並可在批量(1〇〇 片或更多)加熱爐內低壓(20毫托-2托)下操作,並將在下文 中有更詳細的描述。 發明槪述 經浐部中央樣準而M.T消贽合作私印f 本發明是一種用氨和以下化學式的矽烷在基材上低壓 化學氣相沉積氮化矽的方法,化學式爲(t-C4H9NH)2SiH2° 基材的優選溫度範圍約爲500-800°C。 優選壓力範圍約爲20毫托-2托。 優選氨與矽烷的摩爾比約爲2 : 1。 優選基材是矽。 優選基材是一種電子元件。 該基材也可選擇平板顯示器。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
Ju/〇l7 A7 B7 五 經溁部中央樣率而員工消贽合作ii印象 、發明説明( 在優選實施方案中,本發明在反應區內低溫化學氣相 沉積氮化矽,它包括步驟: - - ------ρΐ (請先閲讀背面之注意事項再填寫本頁) a) 在所述反應區內加熱基材至500-800°C的溫度範圍; b) 在所述反應區內保持基材處於壓力範圍約20毫托-2 托的真空中; c) 向所述反應區內加入氨和化學式爲(t-CaWHhSilL· 的矽烷;和 d) 維持a)-c)的條件,使氮化矽薄膜足能沉積到基材 上。 發明之詳細說明 -1丁 有許多種"薄膜”被使用在超大型積體電路(VLSI)的製 作中。這些沉積薄膜可以是金屬、半導體或絕緣體。這些 膜可以是熱生長的或者是用LPCVD氣相沉積的。VLSI技術 要求將超薄絕緣膜用於微處理器和隨機存取存儲器兩種 元件的製備。由於二氧化矽易於沉積並在Si〇2/Si界面處具 有極好的性能,而廣泛用作絕緣材料。氮化矽還有優於二 氧化矽的其他優點,其中包括3丨以4可作雜質和摻雜劑的擴 散阻擋層、具有高絕緣擊穿電壓、極優的機械性能和固有 惰性。 在VLSI製作中,需要滿足很多嚴格的化學組成、結 構、製程和電氣上的要求。薄膜的純度、厚度、均勻性及 沉積速度是一些要嚴格控制的參數,以便製造元件內的亞 微米級的圖形。如果沉積過程可在低於850°C的溫度下進 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 507017 A7 B7 五、發明説明(6 ) (請先閲讀背面之注意事項再填寫本頁) 行,則對元件的製作及性能大爲有益。在這種溫度下LPCVD 沉積氮化矽使用的矽源限於矽烷與二氯矽烷。安全,可靠 的低溫氮化矽源材料亦可用於其他技術,例如:平板顯示 元件,其他電子和非電子的基材或化合物半導體元件的製 作。 本發明涉及之雙(叔丁基胺基)矽烷可作爲胺基矽烷的 一類,胺基矽烷類可出乎意料地在極低溫度下沉積有極高 均勻性的氮化矽。 雙(叔丁基胺基)矽烷滿足下列化學式: (t-C4H9NH)2SiH2。 沉積膜具有極高的均勻性,並且沒有氯化銨和氯污 染。雙(叔丁基胺基)矽烷明顯具有在250-300°C以下沉積氮 化矽的性能,比用LPCVD方法之二氯矽烷和氨製程的溫度 低。類似的含配位體的胺基矽烷類,如,正丁氨與四(二甲 胺基)矽烷,不能通過LPCVD在這麼低的溫度下沉積不含碳 的膜,而且膜的均勻性較差。 雙(叔丁基胺基)矽烷的顯著優點可歸因於雙(叔丁基 胺基)矽烷內叔丁胺基配位體的固有特性。在雙(叔丁基胺 基)矽烷的熱解過程中,叔丁胺基配位體可很容易地作爲異 丁烯被消除。異丁烯是一種非常穩定的,很好的離去基 團,因此不會在沉積時污染氮化矽膜。與二烴基胺基矽烷 相比,叔丁基胺基基團比二烴基胺基鹼性更強,因爲叔丁 基胺基基團內有氮-氫鍵(N-H)。N-H鍵的存在有助於不穩定 的β-氫化物轉移生成二胺基矽烷,叔丁基裂解爲異丁烯。 本紙張尺度適用中國國家標率(CNS ) Α4規格(210X297公釐) 507017 A7 B7 五、發明説明(7 ) 雙(叔丁基胺基)矽烷的其他優點可歸納如下: 1) 它是一種不可燃的,揮發性的穩定液體,在40-45°C 時蒸氣壓爲7.5托。 2) 在這種前體內沒有任何氯。二氯矽烷中的Si-Cl鍵導 致形成氯化銨,氯化銨可沉積到管道末端,因而要求經常 淸洗。 3) 前體不含Si-C鍵結,並且所得氮化矽膜不含碳,正 如歐傑譜(auger spectroscopy)所示。 4) 叔丁胺基配位體表現爲一很好的離去基團,形成異 丁烯並可在熱解時易於去除。應當認爲,其部份原因是該 化合物含有一個N-H鍵。這個附加的優點有助於乾淨地除 去所有的碳而不污染沉積膜。 5) 在與二氯矽烷和氨製程相比時,雙(叔丁基胺基)矽烷 可產生極高的均勻性。這可能是由於有大體積的叔丁胺基 配位體。這些立體配位體有助於提高分子在基材表面的遷 移(速率),從而得到更高的均勻性。 6) 當與其他胺類相比時,例如:二胺,二甲胺及其他 烷基胺類,使用這些前體的沉積溫度可以降低到250-300 °C以下。表1是其他前體之沉積溫度、前體種類及膜特性 的比較。 -10 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (讀先閱讀背面之注意事項再填寫本頁) 衣·
、1T # 507017 A7 B7 五、發明説明(8 ) 表1 前體 蒸氣壓 (托 @°C) 沉積溫度 (°C) 前體和膜的特性 SiH4 + NHs 室溫下 氣體 200-400 電漿製程 易燃氣體,氣相反應,低溫下富含 矽,薄膜可含氫 CI2S1H2+ NHs 室溫下 氣體 >750 腐蝕性氣體,Si-Cl鍵結,氯污染,氯 化銨爲副產物。 (C2H5)2SiH2 + NH3 100托 @20°C 650-725 均勻性差,&-C鍵結,膜中碳雜質 >2%,可要求有籠式舟皿和溫度梯度 (t-GH9)2SiH2 + NHs 20.5 托 @20°C 600-700 Si-C鍵結。膜內含碳成分(10 at%)。 [(Cft)2N]3SiR + Nft R=H 或 Cft 16托 @25°C 700-1000 Si-C鍵結。膜內碳含量>2%並要求高 的氨/原料比(30: 1)。均勻性差, >5%。 (t-C4H9NH)2SiH2 7.5托 @45°C 500-1000 無Si-C鍵結,無碳污染。良好的均勻 性,高沉積速度。 (t-C4H9)2Si(NH2)2 + NH3 2·1托 @ 39°C 600-700 Si-C鍵結,膜內有碳污染。 (請先閱讀背面之注意事項再填寫本頁) 由於以上討論的N-H鍵性質,雙(叔丁基胺基)矽烷化合 物比二叔丁基胺基同類物更合乎要求,且雙(叔丁基胺基) 矽烷比單、三或四(叔丁基胺基)同類物更合乎要求,因爲 單(叔丁基胺基)矽烷同類物不穩定,三取代基的同類物有 明顯的輸送問題,而四(叔丁基胺基)矽烷同類物蒸氣壓很 -1 1 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 507017 A7 B7 五、發明説明(9 ) 低,由於矽源子上配位體的立體空間,它不易合成,因此 不適於商業應用。 (請先閱讀背面之注意事項再填寫本頁) 爲了生成氮化矽膜,可讓雙(叔丁基胺基)矽烷及氨在 升高的溫度(優選500-800°C,但溫度可低於或高於此範圍) 下在反應器管內進行反應。爲了沉積一層氮化矽薄膜,反 應可在表面上或非常接近晶片表面的地方進行,如果反應 是以氣相(一種均相反應)進行,那麼可生成氮化矽團。這 種情況一般發生在矽烷和氨製程中。當反應發生在接近晶 片表面的地方時,則所得膜就具有很高的均勻性。因此, 對CVD應用的一個重要要求是異相反應多於氣相反應的程 度。 CVD製程可分爲a)氣相製程和b)表面反應製程。氣相現 象就是氣體踫撞基材的速率。這是用氣體與交界層的踫撞 速率建立的模型,該交界層把流動氣體的區域與基材分 開。這種運輸過程是通過氣相擴散來進行的,該過程與氣 體的擴散率和跨越邊界層的濃度梯度成正比。當氣體到達 熱表面時,幾種表面過程將是重要的,但是表面反應一般 可以熱活化現象來模擬,該現象進行的速率是頻率因子、 活化能和溫度的函數。 表面反應速率隨溫度的增高而增加。對一種給定的表 面反應,溫度可能升高至使反應速率超過反應物質到達表 面的速率。在這種情況下,反應絕不可能進行得比反應氣 體通過質量輸運供給到基材的速率快。這就叫作質量輸運 限制沉積過程。在較低溫度下,表面反應速率會降低,反 -12 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 川 7017 A7 B7 1、發明説明(l〇 ) 應劑的濃度最終會超過它們被表面反應過程所消耗的速 率。在這種情況下,沉積速率是限定的反應速率。因此, 在較高溫度下,沉積經常質量輸運限定的,而在較低溫度 下,它是表面反應速率限定的。在實際過程中,沉積條件 從一種生長狀態轉移到另一種狀態的溫度取決於反應的 活化能和反應器內氣體流動的條件。因此,很難推斷製程 條件或從一種壓力狀態或溫度狀態到另一種狀態所產生 的結果。 在反應速率限定條件下運作的製程中,製程的溫度是 一個重要的參數。亦即要使在整個反應器內沉積速度均勻 就要求保持恆定反應速率的條件。這本身又意味著晶片表 面各處必須存在恆定的溫度。另一方面,在這種條件下, 反應劑到達表面的速率並不重要,因爲它們的濃度並不限 制生長速度。因此,設計反應器以便向晶片表面各處提供 相同流量的反應劑並不是至關重要的。顯然,在LPCVD反 應器中,晶片可立式堆放且間隔非常小,因爲這種系統可 在反應速率限定的模式下運作。其原因如下:在LPCVD反 應器〜1托的低壓下,氣體物質的擴散率比在大氣壓下增 加了 1000倍,且這僅僅被下面因素部份補償,這些因素是 邊界層,反應劑必須擴散的距離,以低於壓力的平方根的 倍數增加。淨效果就是反應劑到達基材表面及副產物離開 基材表面的輸運增加一個數量級,因此限定速率的步驟是 表面反應。 在雙(叔丁基胺基)矽烷中叔丁基基團的存在有助於表 -13 - 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
、1T
Ju/〇l7 經?^部中央极準.^1'只_1..消贽合竹^印製 A7 B7 五、發明説明(n ) 面反應途徑,因而在與其他製程相比時,即使是在較低溫 度下沉積膜也具有極高的均勻性。這些膜是用LPCVD熱壁 反應器沉積的,詳述如下。 低壓化學氣相沉積製程(LPCVD)涉及化學反應,在壓 力爲20毫托-2托的範圍可發生這些化學反應。在給定的溫 度,壓力及反應劑配比下化學氣相沉積(CVD)製程可以如 下的步驟順序來描述: 1) 將反應劑通入反應室,如果需要可用惰性氣體稀釋 反應劑; 2) 讓反應劑擴散至基材; 3) 反應劑吸附在基材上,且被吸附的分子進行遷移; 和 4) 在表面發生化學反應,反應的氣態副產物脫附並離 開沉積膜。該反應可由幾種方法激發;例如熱或光子。在 LPCVD製程中使用熱能。 在製造VLSI中,LPCVD廣泛使用臥式管狀熱壁反應 器。使用它們沉積多晶矽,氮化矽,不摻雜和摻雜的二氧 化矽薄膜。廣泛使用這些反應器是因爲它們便宜,產量 高,沉積的膜均勻,且可用於大直徑晶片(6"-12’')。它們 主要的缺點是對顆粒污染敏感和沉積速度慢。 立式流動等溫LPCVD反應器也可用於二氧化矽的沉 積。這時反應器的配置要能夠避免片與片間反應劑的耗盡 效應。它們不需要溫度梯度,可製造高均勻性的沉積,且 據報導能得到很低的顆粒污染。 -14 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 衣 _ 經碘部中央棍卑而找工消贽合竹ii印f 507017 A7 B7 五、發明説明(12 ) 爲了得到反應器內的低壓條件,必須有一個合適的真 空系統。對於本試驗來說,真空系統由一個旋片式真空泵 /羅茨鼓風機組件與各種冷凝組件組成。反應器裝的料由標 準擴散舟中的8片矽片組成,矽片的直徑爲100mm,片與片 之間的間隔爲9mm。這些舟被放在滑軌上,使晶片中心稍 高於反應管中心。這樣就可通過補償由舟與滑軌引起的傳 導限制,從而在圍繞晶片的周邊產生均勻的傳導。當用內 部多接頭熱電偶測量時,裝載晶片內的溫度均勻性用數據 來標示爲±1°C。溫度梯度可改善裝載晶片的沉積均勻性。 我們的沉積實驗是在臥式管狀反應器內進行的,但即 使在立式管道反應器內也能用這種前體進行沉積。前體通 過靠近裝料門的一個開口送入。氨從靠近爐門的另一個開 口送入。 本發明所揭示之使用雙(叔丁基胺基)矽烷前體在矽片 上沉積純而薄的氮化矽薄膜的方法在實驗上已得到證 明。雙(叔丁基胺基)矽烷是一種不易燃的揮發性液體,掌 握它比掌握矽烷與二氯矽烷更安全。沉積製程優選在20毫 托-2托壓力範圍和500-80CTC的溫度範圍,用雙(叔丁基胺基) 矽烷蒸氣和氨來進行。可任選一種惰性氣體稀釋劑,例如 氮或氬來稀釋和控制反應的速率。優選的雙(叔丁基胺基) 矽烷與氨的摩爾進料比大於2 : 1。 實施例1 製程涉及一種在LPCVD條件(低壓範圍爲20毫托-2托) -15 - 本紙張尺度適用中國國^家標準(〔阳)八4規格(210\297公釐) (請先閱讀背面之注意事項再填寫本頁) 衣.
、1T 經溁部中央樣準杓妇丁,消贽合作ii印繁 507017 A7 _B7___ 五、發明説明(13 ) 下,雙(叔丁基胺基)矽烷與氨的反應。前體與氨通過位於 門處的注入口送入加熱的反應器(500°C-800°C)。反應劑流 過晶片到真空室。氨與矽源之比的範圍保持在2:1-10:1。氮 化矽薄膜連續沉積在矽片的表面上。這些薄膜適用於製造 積體電路。一般在150 mm熱壁LPCVD臥式管狀反應器內操 作,儘管裝置的配置並不嚴格限制。該製程包括在石英反 應器中裝載75至100個矽片;將系統抽真空;讓晶片到達進 行沉積所要求的溫度。通過普通的電阻加熱提供反應所需 要的能量。無論如何’普通的電阻加熱是有利的’因爲裝 置不昂貴,而且可以避免經常與電漿反應器相關的膜的輻 射照射損傷。 用紅外光譜和折射率來表示這些膜的特徵。FT-IR譜結 果與從其他已知氮化物前體,例如二氯矽烷+氨沉積的氮 化矽膜一致。Si-H伸長區域在2100 cm — 1處有一個中等吸收 峰,而Si-N在834 cm·1處有一個強伸長帶(指吸收峰)。用 橢圓偏光法在632.4 nm波長下測量這些薄膜的折射率,折 射率範圍在1.95-2.01。用歐傑能譜深度分佈分析表示氮化 矽膜的特徵。測定這些薄膜中矽、碳、氮和氧的含量。氮 化矽的組份爲43%的矽與57%的氮。這些薄膜的組份在整 個薄膜深度內是一致的。氧和碳的含量低於歐傑能譜的檢 測限制(<2個原子%)。 幾種同類物前體與本發明的雙(叔丁基胺基)矽烷的比 較數據列於表2。 _ - 16 - 本紙張尺度適用中國^家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
、1T 507017 A7 B7 五、發明説明(i/t ) 14 表2 前體 分子式 分子量 蒸氣 源 seem 溫度 oc 壓力 毫托 NH3/源 沉積速率 ang/min 折射率 蒸氣壓 (ft) 溫度 oc 雙(二甲胺基)矽烷 H2Si(N[CH3]2)2 118.25 >10 27 600 22.0 650 600 6.0 5.5 2.00 三(二甲胺基)矽烷 HSi(N[CH3]2)3 161.32 8 29 21.6 600 600 6.0 0 1.75 21.6 650 600 6.0 12 1.89 21.6 700 600 6.0 22 雙(二乙胺基)矽烷 H2Si(N[GH5]2)2 174.36 14 65.4 48.3 550 300 0 15 1.65 38.6 600 500 0 16 2.00 雙(t-丁胺基)矽烷 H2Si(NHC4H9)2 174.36 7.5 45 22.0 600 600 6.0 14 1.96 22.0 650 600 6.0 58 1.95 22.0 700 600 6.0 124 1.96 二-t-丁基二胺基矽 (GH9)2Si(NH2)2 174.36 2.1 39 21.0 600 600 6.3 12 1.87 烷 18.6 650 600 7.1 43 1.93 26.0 650 600 5.1 57 1.94 21.0 700 600 6.3 130 1.99 三(乙胺基)乙基矽烷 C2H5Si(NHC2H5)3 189.38 54 11.0 600 600 4.0 9 1.73 11.0 650 600 4.0 30 1.87 23.0 650 600 6.0 47 1.88 11.0 700 600 4.0 62 1.93 23.0 700 600 6.0 105 1.94 四(二甲胺基)矽烷 Si(N[CH3]2)4 204.39 7.3 51 34.3 600 500 0 0 (請先閱讀背面之注意事項再填寫本頁) 經浐部中决«.導^員^-消贽合竹^卬^ 根據這些數據,前體的蒸氣壓(爲了容易輸運),沉積 速率,沉積薄膜內的碳雜質,沉積溫度,矽氮比及折射率 被用作比較不同的前體的標準。使用有N-H鍵的化學物 質,也就是雙(叔丁基胺基)矽烷,二-叔丁基二胺基矽烷與 三(丁胺基)乙基矽烷,獲得了最高的沉積速率。其中使用 不含Si-C鍵結的化學物質,亦即雙(叔丁基胺基)矽烷和叔 丁胺基矽烷二聚體能夠得到沉積膜內含碳雜質最少的氮 化矽膜,而獲得最均勻的沉積則是使用有叔丁基的化學 物,即雙(叔丁基胺基)矽烷和二-叔丁基二胺基矽烷。根據 這個原則,雙(叔丁基胺基)矽烷是一種意想不到的極好的 氮化矽前體。 本發明已透過優選實施方案詳述,但本發明的全部範 圍應根據後面的申請專利範圍來確定。 -17 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)

Claims (1)

  1. 507017
    1. 一種用氨和以下化學式的矽烷在基材上低壓化學氣 相丨几積氮化砂的方法,該砂院的分子式爲: (t-C4H9NH)2SiH2。 2. 如申請專利範圍第1項所述的方法,其中該基材的溫 度範圍在500-80(TC 〇 3·如申請專利範圍第1項所述的方法,其中該壓力範圍 在20毫托-2托。 4.如申請專利範圍第1項所述的方法,其中該氨與該矽 烷的摩爾比大於2:1。 5·如申請專利範圍第1項所述的方法,其中該基材爲 石夕。 6·如申請專利範圍第1項所述的方法,其中該基材爲一 種電子元件。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 7.如申請專利範圍第1項所述的方法,其中該基材爲平 板顯示器。 8· —種在反應區內氮化矽的低壓化學氣相沉積方法, 包括下列步驟: -18- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507017 8 8 C0 〇〇 一 Λ BCD ~ 六、申請專利範圍 a) 在該區內將該基材加熱至500-800°C的溫度範圍; b) 在該區內使該基材保持處於真空的壓力範圍是20毫 托-2托; c) 向該區內加入氨和化學式爲t-C4H9NH)2SiH2的矽烷; 和 d) 維持a)-c)的條件,使該氮化矽膜足能沉積到該基材 上。 (請先閱讀背面之注意事項再填寫本頁) -裝· 訂 經濟部中央標準局員工消費合作社印製 -19 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐)
TW087116112A 1997-10-02 1998-09-28 Silicon nitride from bis(tertiarybutylamino)silane TW507017B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/942,996 US5874368A (en) 1997-10-02 1997-10-02 Silicon nitride from bis(tertiarybutylamino)silane

Publications (1)

Publication Number Publication Date
TW507017B true TW507017B (en) 2002-10-21

Family

ID=25478939

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087116112A TW507017B (en) 1997-10-02 1998-09-28 Silicon nitride from bis(tertiarybutylamino)silane

Country Status (8)

Country Link
US (1) US5874368A (zh)
EP (1) EP0906965B1 (zh)
JP (1) JP2962417B2 (zh)
KR (1) KR100318978B1 (zh)
DE (1) DE69834609T2 (zh)
HK (1) HK1017390A1 (zh)
SG (1) SG66493A1 (zh)
TW (1) TW507017B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109881177A (zh) * 2017-12-06 2019-06-14 住友电气工业株式会社 形成氮化硅(SiN)膜和具有SiN膜的半导体器件的方法

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
US6429149B1 (en) 2000-02-23 2002-08-06 International Business Machines Corporation Low temperature LPCVD PSG/BPSG process
US6268299B1 (en) * 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
DE10051382A1 (de) * 2000-10-17 2002-05-02 Texas Instruments Deutschland Verfahren zum Herstellen eines Stapels aus einer Si¶3¶N¶4¶-Schicht und einer darüberliegenden Si0¶2¶-Schicht auf einem Halbleitersubstrat
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
TW200424343A (en) * 2002-09-05 2004-11-16 Asml Us Inc Low temperature deposition of silicon based thin films by single-wafer hot-wall rapid thermal chemical vapor deposition
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
JP2004193585A (ja) * 2002-11-29 2004-07-08 Fujitsu Ltd 半導体装置の製造方法と半導体装置
US6963006B2 (en) * 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4382750B2 (ja) 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
EP1788118A3 (en) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
KR20060033468A (ko) * 2004-10-15 2006-04-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
JP2006165081A (ja) * 2004-12-03 2006-06-22 Fujitsu Ltd 半導体装置の製造方法および半導体装置
KR100593752B1 (ko) * 2005-01-18 2006-06-28 삼성전자주식회사 불순물들이 제거된 실리콘 질화막을 구비하는 반도체소자의 제조방법
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2006351694A (ja) * 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP5149273B2 (ja) * 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11273432B2 (en) 2018-05-31 2022-03-15 Arizona Board Of Regents On Behalf Of Arizona State University Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1544287B2 (de) * 1966-04-29 1975-12-04 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen einer Schutzschicht aus Siliciumnitrid
US4777205A (en) * 1987-07-22 1988-10-11 Wacker Silicones Corporation Electrically conductive compositions
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP2819382B2 (ja) * 1993-12-14 1998-10-30 信越化学工業株式会社 室温硬化性オルガノポリシロキサン組成物

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109881177A (zh) * 2017-12-06 2019-06-14 住友电气工业株式会社 形成氮化硅(SiN)膜和具有SiN膜的半导体器件的方法
CN109881177B (zh) * 2017-12-06 2022-05-17 住友电气工业株式会社 形成氮化硅(SiN)膜和具有SiN膜的半导体器件的方法

Also Published As

Publication number Publication date
KR19990036717A (ko) 1999-05-25
EP0906965A3 (en) 2000-12-06
EP0906965B1 (en) 2006-05-24
SG66493A1 (en) 1999-07-20
KR100318978B1 (ko) 2002-11-18
DE69834609D1 (en) 2006-06-29
JP2962417B2 (ja) 1999-10-12
JPH11172439A (ja) 1999-06-29
EP0906965A2 (en) 1999-04-07
US5874368A (en) 1999-02-23
HK1017390A1 (en) 1999-11-19
DE69834609T2 (de) 2007-05-03

Similar Documents

Publication Publication Date Title
TW507017B (en) Silicon nitride from bis(tertiarybutylamino)silane
EP0964441B1 (en) Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino)silane
JP3954577B2 (ja) ケイ素含有膜を付着させるための前駆体およびそのプロセス
KR960011015B1 (ko) 유기디실란 소오스를 사용하여 저압 화학적 증착에 의해 100°c 정도의 저온에서 이산화규소막을 증착하는 방법
US7964513B2 (en) Method to form ultra high quality silicon-containing compound layers
KR101470067B1 (ko) 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법
KR101451525B1 (ko) 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
JP2769307B2 (ja) 二酸化ケイ素の非常に低い温度の化学蒸着法
US20070031598A1 (en) Method for depositing silicon-containing films
EP1630249A2 (en) Process for chemical vapor deposition of silicon nitride.
EP0417170A1 (en) METHOD FOR PLASMA DEPOSITING SILICON NITRIDE AND SILICON DIOXIDE FILMS ON A SUBSTRATE.
US6465044B1 (en) Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
KR20140059107A (ko) 실리콘 질화물 박막 제조 방법
TW201841927A (zh) 用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent