DE112007000662T5 - Epitaktische Silizium-Germanium für einen reduzierten Übergangswiderstand bei Feldeffekt-Transistoren - Google Patents

Epitaktische Silizium-Germanium für einen reduzierten Übergangswiderstand bei Feldeffekt-Transistoren Download PDF

Info

Publication number
DE112007000662T5
DE112007000662T5 DE112007000662T DE112007000662T DE112007000662T5 DE 112007000662 T5 DE112007000662 T5 DE 112007000662T5 DE 112007000662 T DE112007000662 T DE 112007000662T DE 112007000662 T DE112007000662 T DE 112007000662T DE 112007000662 T5 DE112007000662 T5 DE 112007000662T5
Authority
DE
Germany
Prior art keywords
channel
source
drain regions
sige
channel transistors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112007000662T
Other languages
English (en)
Other versions
DE112007000662B4 (de
Inventor
Lucian Hillsboro Shifren
Jack T. Portland Kavalier
Steven M. Hillsboro Cea
Cory E. Hillsboro Weber
Justin K. Portland Brask
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112007000662T5 publication Critical patent/DE112007000662T5/de
Application granted granted Critical
Publication of DE112007000662B4 publication Critical patent/DE112007000662B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

Verfahren zum Herstellen von n-Kanal- und p-Kanal-Transistoren, wobei das Verfahren die folgenden Schritte umfasst:
Bilden von Ausnehmungen in einem Siliziumsubstrat für Source- und Drain-Bereiche benachbart zu Gate-Strukturen für n-Kanal- und p-Kanal-Transistoren;
Wachsen von SiGe in den Ausnehmungen, um Source- und Drain-Bereiche für die n-Kanal- und p-Kanal-Transistoren zu bilden; und
Abbauen von Spannung in Kanal-Bereichen der n-Kanal-Transistoren, die vom Wachsen des SiGe herrührt, ohne die Spannung in Kanal-Bereichen der p-Kanal-Transistoren wesentlich zu beeinflussen.

Description

  • Die Erfindung betrifft das Gebiet von Silizium-Germanium-Bereichen in Feldeffekt-Transistoren.
  • Hintergrund der Erfindung
  • In Halbleiterbauteilen wird oft ein Metallsilicid an Halbleiterbereichen gebildet, um einen Übergangswiderstand zu reduzieren. An der Schnittstelle zwischen dem Silicid und einem Halbleiter, beispielsweise Silizium, ist ein Schottkey-Übergang einer Widerstandsquelle. Dieser kann reduziert werden, indem die Bandlücke verringert wird, was geschieht, wenn Silizium-Germanium (SiGe) als das Material verwendet wird, in welchem das Silicid oder das Salizid gebildet wird. Die Verwendung von SiGe-Source- und SiGe-Drain-Bereichen und einem Nickelsilicid-Metall wird in dem Dokukment US 6,949,482 beschrieben. Die Bandlückendiagramme und der bezogene Text in diesem Patent beschreiben die Vorteile einer Verwendung von insbesondere Nickelsilicid an dem SiGe (siehe 5, 6 und 7 und hierauf bezogenen Text).
  • Es ist bekannt, dass eine verbesserte Leistung in PMOS-Transistoren erhalten wird, wenn eine uniaxiale komprimierende Spannung beziehungsweise Belastung direkt auf den Kanalbereich des Transistors ausgeübt wird, beispielsweise von eingebetteten SiGe-Source- und SiGe-Drain-Bereichen. In gleicher Weise ist es bekannt, dass eine verbesserte Leistung in NMOS-Transistoren erhalten wird, wenn eine uniaxiale Zugspannung an ihrem Kanal aufgebracht wird. Dies ist beschrieben in „A Tensile Strained NMOS Transistor Using Group III-N Source/Drain Regions", Anmeldenummer 11/323,688, eingereicht am 29. Dezember 2005, von dem Anmelder der vorliegenden Anmeldung.
  • Beschreibung der Figuren
  • 1 ist eine Querschnitts-Aufrisszeichnung von zwei Abschnitten eines oberen Bereiches eines Silizium-Substrates, in welchem Isolationsgräben gebildet sind.
  • 2 zeigt die Struktur von 1 mit Gate-Strukturen und mit Ausnehmungen, die benachbart zu den Gate-Strukturen in das Silizium geätzt sind.
  • 3 zeigt die Struktur von 2, nachdem SiGe-Source- und SiGe-Drain-Bereiche in den Ausnehmungen gewachsen sind.
  • 4 zeigt die Struktur von 3, nachdem ein p-Kanal-Transistor maskiert wurde und während einer Ionenimplantation des n-Kanal-Transistors.
  • 5 Zeigt die Struktur von 3, in einer anderen Fertigung, wo nach einem Maskierungsschritt etwas von dem Material in den Isolationsgraben geätzt wird.
  • 6 zeigt die Struktur von 5, nachdem ein Silicid an den Source- und den Drain-Bereichen gebildet ist.
  • 7 zeigt eine andere Ausführungsform, wo für den n-Kanal-Transistor das SiGe nicht aufgenommen ist.
  • Es wird ein Verfahren zur Herstellung von p-Kanal- und von n-Kanal-Transistoren beschrieben, wo Silizium-Germanium(SiGe)-Source- und SiGe-Drain-Bereiche verwendet werden und wo ein Silicid an diesen Bereichen gebildet wird. In der folgenden Beschreibung werden mehrere besondere Einzelheiten dargelegt, wie beispielsweise Implantations-Energie-Niveaus und so weiter, um ein gutes Verständnis der vorliegenden Erfindung zu erreichen. Dem Fachmann wird klar sein, dass die vorliegende Erfindung ohne diese besonderen Einzelheiten ausgeführt werden kann. In anderen Beispielen werden bekannte Herstellungsprozesse nicht beschrieben, um die vorliegende Erfindung nicht unnötig zu verdecken.
  • In 1 ist der obere Bereich eines monokristallinen Siliziumsubstrats gezeigt. Zwei getrennte Abschnitte des Substrats, bezeichnet als 10a und 10b, sind dargestellt. An dem Bereich 10a ist, wie beschrieben wird, ein n-Kanal-Transistor in dem Bereich 15 gebildet. An dem Stubstratabschnitt 10b ist ein p-Kanal-Transistor in dem Bereich 16 hergestellt. Der Bereich 15 ist durch die zwei Isolationsgräben 11 und 12 begrenzt. In gleicher Weise ist der Bereich 16 durch die Isolationsgräben 13 und 14 begrenzt. Die Gräben 11 bis 14 sind flache Isolationsgraben, die durch Ätzen des oberen Bereichs des Substrats und Füllen der Gräben mit einem Dielektrikum gebildet sind. In einigen Fällen, nachdem die Gräben geätzt sind, wird ein Silizium-Dioxid gewachsen, um eine dielektrische Abdeckung innerhalb der Gräben zu bilden. Dann können die Gräben mit einem Material, wie beispielsweise einem abgeschiedenen Silizium-Dioxid gefüllt werden.
  • In 1 ist nur ein halber Graben für jeden der vier Gräben 11 bis 14 gezeigt. In einigen der unten beschriebenen Ausführungsformen wird, wie diskutiert werden wird, ein den Graben füllendes Material geätzt. In einigen Fällen wird das Material entlang des gesamten Grabens geätzt und in anderen Fällen wird es von weniger als der ganzen Breite des Grabens geätzt. Die in den Fig. gezeigten Grabenbreiten sind nicht maßstabsgetreu, beispielsweise, im Verhältnis zu der Gate-Struktur. Der Einfachheit halber sind die Gräben so gezeigt, dass sie viel enger im Verhältnis zu der Gate-Struktur sind, als sie dies in einem integrierten Schaltkreis sind.
  • Gate-Strukturen sind an den Bereichen 15 und 16 und ähnlichen Bereichen des Substrats gebildet. Jede sich ergebende Gate-Struktur, gezeigt in 2, umfasst ein Gate-Dielektrikum 21, das ein Gate 20 von einem Kanalbereich in dem Substrat trennt, und eine Hartmaske 23 über dem Gate 20. Seitenwandabstandsbereiche 22 sind an gegenüberliegenden Seiten des Gates 20 angeordnet. Fertigungsschritte, wie beispielsweise die Implantation von n-Typ- und p-Typ-Dotandenspitzen und die Bildung von Seitenwandabstandsbereichen werden hier nicht beschrieben. Diese Schritte sind aus dem Stand der Technik bekannt. Darüber hinaus ist die gezeigte besondere Gate-Struktur beispielhaft und nicht wesentlich für die vorliegende Erfindung.
  • Nachdem die Gate-Strukturen gebildet sind, werden Ausnehmungen 30 in das Silizium-Substrat an den Orten der Source- und der Drain-Bereiche für sowohl die n-Kanal- als auch die p-Kanal-Transistoren gebildet. In 2 erstrecken sich die Ausnehmungen 30 in etwa von der Gate-Struktur zu einem Isolationsgraben. Beachte, dass sich die Ausnehmungen 30 in jedem Falle geringfügig unter den Abstandsbereichen erstrecken und von einem Isolationsgraben begrenzt sind.
  • Nun wird epitaxisches Wachstum verwendet, um SiGe-Source- und SiGe-Drain-Bereiche für sowohl die n-Kanal- als auch die p-Kanal-Transistoren zu wachsen. Die Source- und die Drain-Bereiche können oberhalb des ursprünglichen Niveaus des Substrats angehoben werden, wie gezeigt. Beachte, dass diese Bereiche unmittelbar zu den Isolationsgräben benachbart sind.
  • Das Wachstum des SiGe in den Ausnehmungen bewirkt kompressiv mit Spannungen beaufschlagte Bereiche für sowohl die n-Kanal- als auch die p-Kanal-Transistoren. In dem Falle des p-Kanal-Transistors ist die Spannung in der Hinsicht vorteilhaft, dass sie die Lochmobilität in dem Transistor verbessert. Unglücklicherweise verringert dieselbe Spannung in dem Falle des n-Kanal-Transistors eine Elektronenmobilität. Sowohl den n-Kanal- als auch den p-Kanal-Transistoren wird jedoch die mit dem SiGe verbundene geringere Silicid-Barrierenhöhe zugute kommen, sobald das Silicid oder das Salicid gebildet ist.
  • 4 zeigt einen Prozess zum Abbauen der Spannung auf den Kanalbereich des n-Kanal-Transistors, während die Spannung auf den p-Kanal-Transistor gelassen wird. Zunächst wird eine Maske, welche ein Photoresist 41 sein kann, über den p-Kanal-Transistoren gebildet, wobei die Source- und die Drain-Bereiche dieser Transistoren abgedeckt werden. Dann werden, wie in 4 gezeigt, Ionen 40 in die SiGe-Source- und SiGe-Drain-Bereiche des n-Kanal-Transistors implantiert, um Versetzungsdefekte zu erzeugen, die als Entspannungsbereiche innerhalb des SiGe wirken. Dies reduziert die Spannung auf den Kanalbereich und verbessert daher die Elektronenmobilität in dem Kanalbereich. Das Ionenbombardment kann relativ flach sein, da der Kanalbereich des n-Kanal-Transistors nahe der Oberfläche des Siliziums ist (direkt unterhalb des Gate-Isolators), daher ist es nicht nötig, das Kristallgitter tief innerhalb der Ausnehmungen zu stören. Die Ionen, welche implantiert werden, sind keine nominellen Ladungsträger, und beeinflussen daher nicht die Halbleitereigenschaften der Source- und Drain-Bereiche. Beispielsweise kann Kohlenstoff verwendet werden. Implantationsenergieniveaus von 0,5–1,5 Kev sind geeignet, bei einer Dosis von etwa 1E16-5E16 Atomen/cm2.
  • Nach dieser Implantation wird ein Silicid in herkömmlicher Weise gebildet, wie beispielsweise mit Nickel. Die Störung des Gitters der n-Kanal-Source- und n-Kanal-Drain-Bereiche unterstützt bei der Bildung des Silicids im Vergleich zu den Source- und Drain-Bereichen des p-Kanal-Transistors.
  • Wie in 4 gezeigt, wird der gesamte Isolationsgraben 13 unter der Maske umfasst, während sich nur eine Hälfte des Isolationsgrabens 14 unter der Maske 41 befindet. Dies dient zur Veranschaulichung, dass die Ausrichtung der Maske mit den Isolationsbereichen nicht wesentlich für diese Ausführungsform ist. Wichtig ist, dass die SiGe-Source- und die SiGe-Drain-Bereiche des p-Kanal-Transistors vor der Implantation geschützt sind.
  • In einer alternativen Ausführungsform wird die Spannung in den n-Kanal-Transistoren abgebaut, indem etwas oder alles von dem Material in den Isolationsgräben entfernt wird. Bezugnehmend auf 5 wird wieder eine Maske (Teil 50) über den p-Kanal-Transistoren angeordnet. Die die n-Kanal-Transistoren begrenzenden Gräben, wie beispielsweise die Gräben 11 und 12 von 5, sind wenigstens teilweise freigelegt. Dann wird das Material in den Gräben, wie beispielsweise das Siliziumdioxid, aus dem Graben entweder mit einem isotropen oder mit einem anisotropen Ätzprozess herausgeätzt. Wie durch die Öffnungen 52 und 53 von 5 gezeigt, muss nicht das gesamte Material in dem Boden des Grabens geätzt werden. Es muss nur die Spannung in den Kanalbereichen, welche nahe der Oberfläche ist, abgebaut werden.
  • Wo ein Isolationsgraben einen n-Kanal-Transistor von einem p-Kanal-Transistor trennt, kann ein Ätzen der gesamten Breite des Grabens ein Abbauen der Spannung in sowohl dem p-Kanal- als auch dem n-Kanal-Transistor bewirken. Dies würde einen der Vorteile der Verwendung von SiGe in dem p-Kanal-Transistor zunichte machen, insbesondere die durch den mit Spannung beaufschlagten Kanal erzeugte höhere Löchermobilität.
  • In diesem Falle sollte die Maske verhindern, dass der gesamte Graben geätzt wird. Beispielsweise schützt die Maske 51 von 5 einen Bereich des Materials in dem Graben 11. In gleicher Weise schützt die Maske 50 einen Teil des Grabens 14. Die Masken 50 und 51 verhindern, dass das gesamte den Graben 14 beziehungsweise den Graben 11 füllende Material weggeätzt wird, insbesondere, wenn ein anisotropes Ätzmittel verwendet wird. Daher wird, wenn ein p-Kanal-Transistor an der Seite des Isolationsbereiches 11 gegenüber dem n-Kanal-Transistors angeordnet wird, gezeigt in 5, die Spannung in seinem Kanal nicht abgebaut. In gleicher Weise würde die Öffnung 55 die Spannung von einem n-Kanal-Transistor abbauen, der an der Seite des Grabens 14 gegenüber dem Bereich 16 angeordnet ist. Wo ein p-Kanal-Transistor keinen Isolationsgraben mit einem n-Kanal-Transistor teilt, oder wo aus irgendeinem Grund der gesamte Graben geätzt werden kann, muss die Maske den Graben nicht maskieren. Dies ist an dem Graben 12 in 5 gezeigt.
  • Wie in 6 gezeigt, kann ein Silicid 57, sobald die Spannung von den Kanalbereichen der n-Kanal-Transistoren abgebaut wurde, an den SiGe-Oberflächen gebildet werden. Danach werden die Gräben beispielsweise mit einem Zwischenschicht-Dielektrikum (ILD) wieder gefüllt. Dieses Wiederfüllen der Gräben erzeugt keine Spannung auf die Kanäle. Beachte, dass in 6 die Öffnungen 52 und 53 mit einem Dielektrikum 58 wieder gefüllt wurden.
  • In 7 ist eine weitere Ausführungsform dargestellt. Ausnehmungen sind nicht für die n-Kanal-Transistoren gebildet, sondern das Silizium ist vielmehr, wie gezeigt, durch die Source- und die Drain-Bereiche 62 für den n-Kanal-Transistor an dem Substratabschnitt 10a dotiert. Wie in 2 gezeigte Ausnehmungen für die p-Kanal Transistoren sind für die Ausführungsform von 7 geätzt. Dann wird das SiGe epitaktisch gewachsen, bildet die Bereiche 60 für den p-Kanal-Transistor und die Bereiche 63 für den n-Kanal-Transistor. Die Bereiche 63 sind oberhalb des Niveaus des Kanalbereichs und verursachen als Ergebnis keine Spannung an dem Kanalbereich des n-Kanal-Transistors. Wiederum, wie es der Fall in anderen Ausführungsformen ist, wird das Silicid 65 an den SiGe-Oberflächen gebildet. Der in den anderen Ausführungsformen erhaltene Vorteil einer Verringerung des Silizium-/Silicidwiderstands wird daher erhalten.
  • Es wurden Prozesse beschrieben, um die Spannung in einem n-Kanal-Transistor abzubauen, welcher ein SiGe-Source/SiGe-Drain verwendet. Dies gestattet es, die Vorteile eines SiGe-gebildeten Silicids sowohl an den p-Kanal- als auch den n-Kanal-Transistoren zu verwenden.
  • Zusammenfassung
  • Die Erfindung betrifft ein Verfahren zum Herstellen von n-Kanal- und p-Kanal-Transistoren, wobei das Verfahren die folgenden Schritte umfasst: Bilden von Ausnehmungen in einem Siliziumsubstrat für Source- und Drain-Bereiche benachbart zu Gate-Strukturen für n-Kanal- und p-Kanal-Transistoren; Wachsen von SiGe in den Ausnehmungen, um Source- und Drain-Bereiche für die n-Kanal- und p-Kanal-Transistoren zu bilden; und Abbauen von Spannung in Kanal-Bereichen der n-Kanal-Transistoren, die vom Wachsen des SiGe herrührt, ohne die Spannung in Kanal-Bereichen der p-Kanal-Transistoren wesentlich zu beeinflussen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • - US 6949482 [0002]

Claims (20)

  1. Verfahren zum Herstellen von n-Kanal- und p-Kanal-Transistoren, wobei das Verfahren die folgenden Schritte umfasst: Bilden von Ausnehmungen in einem Siliziumsubstrat für Source- und Drain-Bereiche benachbart zu Gate-Strukturen für n-Kanal- und p-Kanal-Transistoren; Wachsen von SiGe in den Ausnehmungen, um Source- und Drain-Bereiche für die n-Kanal- und p-Kanal-Transistoren zu bilden; und Abbauen von Spannung in Kanal-Bereichen der n-Kanal-Transistoren, die vom Wachsen des SiGe herrührt, ohne die Spannung in Kanal-Bereichen der p-Kanal-Transistoren wesentlich zu beeinflussen.
  2. Verfahren nach Anspruch 1, wobei die Spannung abgebaut wird, indem zum Amorphisieren des SiGe Ionen in die Source- und Drain-Bereiche der n-Kanal-Transistoren implantiert werden.
  3. Verfahren nach Anspruch 2, wobei die Ionen normalerweise nicht-ladungstragende Atome sind.
  4. Verfahren nach Anspruch 3, wobei die Ionen Kohlenstoff-Ionen umfassen.
  5. Verfahren nach Anspruch 1, wobei die Spannung in den n-Kanal-Transistoren abgebaut wird, indem ein dielektrisches Material von Isolationsgräben geätzt wird, die auf einer Seite des Source-Bereichs und einer Seite des Drain-Bereichs der n-Kanal-Transistoren angeordnet sind.
  6. Das Verfahren nach Anspruch 5, wobei nicht das gesamte Dielektrikum in allen Gräben geätzt wird.
  7. Verfahren nach Anspruch 1, wobei ein Metall-Silicid an den Source- und Drain-Bereichen gebildet wird.
  8. Verfahren nach Anspruch 2, wobei ein Metall-Silicid an den Source- und Drain-Bereichen gebildet wird.
  9. Verfahren nach Anspruch 5, wobei ein Metall-Silicid an den Source- und Drain-Bereichen gebildet wird.
  10. Verfahren nach Anspruch 9, wobei das Metall-Silicid Nickel umfasst.
  11. Verfahren zum Bilden eines n-Kanal-Transistors, wobei das Verfahren die folgenden Schritte umfasst: Bilden von Isolationsgräben, die mit einem dielektrischen Material gefüllt sind, in einem Silizium-Substrat; Wachsen von epitaktischen SiGe-Source- und SiGe-Drain-Bereichen in Ausnehmungen, die in dem Substrat benachbart zu den Isolationsgräben gebildet sind; Ätzen des dielektrischen Materials von wenigstens einem Teil der Gräben, um Spannung in den SiGe-Source- und SiGe-Drain-Bereichen abzubauen; und Bilden eines Metall-Silicids an den Source- und Drain-Bereichen.
  12. Verfahren nach Anspruch 11, wobei das Silicid unter Verwendung von Nickel gebildet wird.
  13. Verfahren nach Anspruch 12, wobei das dielektrische Material in den Gräben Siliziumdioxid ist.
  14. Verfahren nach Anspruch 11, wobei ein Teil wenigstens eines der Gräben derart maskiert wird, dass der maskierte Teil des Grabens beim Ätzen eines freigelegten Teils des Grabens nicht geätzt wird.
  15. Integrierter Schaltkreis mit n-Kanal- und p-Kanal-Transistoren, mit: spannungsverursachenden Mitteln zum Verursachen von Spannung in Source- und Drain-Bereichen der n-Kanal- und der p-Kanal-Transistoren; und spannungsabbauenden Mitteln zum Verhindern, dass die Spannung in den Source- und den Drain-Bereichen des n-Kanal-Transistors Spannung auf die Kanal-Bereiche verursacht, während die Spannung in den Kanal-Bereichen der p-Kanal-Transistoren nicht verhindert wird.
  16. Integrierter Schaltkreis nach Anspruch 15, wobei die spannungsverursachenden Mittel SiGe-Source- und SiGe-Drain-Bereiche sind.
  17. Integrierter Schaltkreis nach Anspruch 16, wobei die spannungsabbauenden Mittel Isolationsgräben umfassen, die an den Seiten der Source- und Drain-Bereiche des n-Kanal-Transistors angeordnet sind, welche in Gräben an den Seiten der Source- und der Drain-Bereiche der p-Kanal-Transistoren mit einem unterschiedlichen Dielektrikum gefüllt sind.
  18. Integrierter Schaltkreis nach Anspruch 16, wobei die spannungsabbauenden Mittel einen amorphen SiGe-Bereich in dem Source- und dem Drain-Bereich der n-Kanal-Transistoren umfassen.
  19. Integrierter Schaltkreis nach Anspruch 17, wobei an den Source- und den Drain-Bereichen der n-Kanal- und der p-Kanal-Transistoren Silicid gebildet ist.
  20. Integrierter Schaltkreis nach Anspruch 18, wobei an den Source- und den Drain-Bereichen der n-Kanal- und der p-Kanal-Transistoren Silicid gebildet ist.
DE112007000662T 2006-03-31 2007-03-29 Verfahren zum Herstellen von Transistoren mit epitaktischem Silizium-Germanium für reduzierten Übergangswiderstand bei Feldeffekt-Transistoren Active DE112007000662B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/395,939 US7566605B2 (en) 2006-03-31 2006-03-31 Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US11/395,939 2006-03-31
PCT/US2007/007707 WO2007126909A1 (en) 2006-03-31 2007-03-29 Epitaxial silicon germanium for reduced contact resistance in field-effect transistors

Publications (2)

Publication Number Publication Date
DE112007000662T5 true DE112007000662T5 (de) 2009-04-30
DE112007000662B4 DE112007000662B4 (de) 2010-09-23

Family

ID=38559671

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112007000662T Active DE112007000662B4 (de) 2006-03-31 2007-03-29 Verfahren zum Herstellen von Transistoren mit epitaktischem Silizium-Germanium für reduzierten Übergangswiderstand bei Feldeffekt-Transistoren

Country Status (8)

Country Link
US (2) US7566605B2 (de)
JP (1) JP5203350B2 (de)
KR (1) KR101017477B1 (de)
CN (1) CN101416297B (de)
DE (1) DE112007000662B4 (de)
GB (1) GB2448258B (de)
HK (1) HK1131469A1 (de)
WO (1) WO2007126909A1 (de)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BRPI0411852A (pt) 2003-06-27 2006-05-23 Abgenix Inc anticorpos dirigidos aos mutantes de deleção de receptor de fator de crescimento epidérmico e seu usos
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
JP2009032986A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置およびその製造方法
US7833848B2 (en) * 2007-09-28 2010-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard masks on gates in semiconductor manufacturing process
US8796084B2 (en) * 2007-09-28 2014-08-05 Taiwan Semiconductor Manufacturing Company Ltd. Method for removing hard masks on gates in semiconductor manufacturing process
DE102007052053B4 (de) * 2007-10-31 2012-02-02 Advanced Micro Devices, Inc. Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
US8779477B2 (en) * 2008-08-14 2014-07-15 Intel Corporation Enhanced dislocation stress transistor
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US7670934B1 (en) * 2009-01-26 2010-03-02 Globalfoundries Inc. Methods for fabricating MOS devices having epitaxially grown stress-inducing source and drain regions
DE102009006800B4 (de) * 2009-01-30 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Transistoren und entsprechendes Halbleiterbauelement
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8936976B2 (en) * 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
TWI585861B (zh) * 2010-02-10 2017-06-01 格羅方德半導體公司 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法
KR101730939B1 (ko) 2010-06-09 2017-05-12 삼성전자 주식회사 반도체 소자 및 그 제조방법
CN102299092B (zh) * 2010-06-22 2013-10-30 中国科学院微电子研究所 一种半导体器件及其形成方法
DE102010030768B4 (de) * 2010-06-30 2012-05-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
KR101673908B1 (ko) 2010-07-14 2016-11-09 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102010038746B4 (de) * 2010-07-30 2013-11-14 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Reduzieren der Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
CN102468164B (zh) 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
DE102010064287B4 (de) * 2010-12-28 2014-05-08 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum zuverlässigen Einbetten von Metallsilizidkontaktgebieten in stark dotierte Drain- und Sourcegebiete durch eine Silizidstoppschicht und entsprechendes Halbleiterbauelement
US10490459B2 (en) * 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
EP2562347B1 (de) 2011-08-23 2019-05-22 BAUER Maschinen GmbH Unterwasser-arbeitsanordnung und verfahren zu ihrer verankerung
CN102983079B (zh) * 2011-09-06 2017-12-19 联华电子股份有限公司 半导体工艺
CN106847805B (zh) * 2011-12-23 2020-08-21 英特尔公司 具有包含不同材料取向或组成的纳米线或半导体主体的共衬底半导体器件
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US9129842B2 (en) 2014-01-17 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of silicide contacts in semiconductor devices
US9318582B2 (en) 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9837533B2 (en) * 2014-07-01 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9716160B2 (en) * 2014-08-01 2017-07-25 International Business Machines Corporation Extended contact area using undercut silicide extensions
US9647073B2 (en) 2014-10-29 2017-05-09 Globalfoundries Inc. Transistor structures and fabrication methods thereof
EP3353811A4 (de) * 2015-09-25 2019-05-01 Intel Corporation Widerstandsreduktion unter transistorabstandshaltern
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
CN107039521A (zh) * 2016-02-04 2017-08-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9905692B2 (en) 2016-05-20 2018-02-27 Globalfoundries Inc. SOI FinFET fins with recessed fins and epitaxy in source drain region
TWI739879B (zh) * 2016-08-10 2021-09-21 日商東京威力科創股份有限公司 用於半導體裝置的延伸區域
US20200212227A1 (en) * 2016-08-17 2020-07-02 Boe Technology Group Co., Ltd. Thin film transistor, manufacturing method thereof, array substrate, display device
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US10008497B2 (en) * 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US6006520A (en) * 1997-10-06 1999-12-28 General Motors Corporation Pneumatic assisted brake apply system
JP3070674B2 (ja) * 1997-11-06 2000-07-31 日本電気株式会社 半導体装置の製造方法
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
WO2003015138A2 (en) 2001-08-09 2003-02-20 Amberwave Systems Corporation Optimized buried-channel fets based on sige heterostructures
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
JP2004014878A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置
JP2004063591A (ja) * 2002-07-25 2004-02-26 Sony Corp 半導体装置とその製造方法
JP2004111479A (ja) * 2002-09-13 2004-04-08 Toshiba Corp 半導体装置及びその製造方法
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
DE10261145A1 (de) * 2002-12-27 2004-07-22 Advanced Micro Devices, Inc., Sunnyvale Verbesserter Transistor mit abgesenktem Gate und ein Verfahren zur Herstellung desselben
US6825086B2 (en) 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
JP2004281964A (ja) * 2003-03-19 2004-10-07 Toshiba Corp 半導体集積回路装置とその製造方法
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20050026342A1 (en) * 2003-07-28 2005-02-03 Ka-Hing Fung Semiconductor device having improved short channel effects, and method of forming thereof
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6872626B1 (en) * 2003-11-21 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain and a transistor employing the same
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
JP4102334B2 (ja) * 2004-06-16 2008-06-18 株式会社東芝 半導体装置及びその製造方法
KR100642747B1 (ko) * 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US7592213B2 (en) 2005-12-29 2009-09-22 Intel Corporation Tensile strained NMOS transistor using group III-N source/drain regions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance

Also Published As

Publication number Publication date
GB2448258A (en) 2008-10-08
KR101017477B1 (ko) 2011-02-25
US20070231983A1 (en) 2007-10-04
JP2009529803A (ja) 2009-08-20
JP5203350B2 (ja) 2013-06-05
GB2448258B (en) 2011-08-17
US20090230480A1 (en) 2009-09-17
US7851291B2 (en) 2010-12-14
GB0812725D0 (en) 2008-08-20
CN101416297B (zh) 2013-10-23
HK1131469A1 (en) 2010-01-22
CN101416297A (zh) 2009-04-22
KR20080108496A (ko) 2008-12-15
DE112007000662B4 (de) 2010-09-23
US7566605B2 (en) 2009-07-28
WO2007126909A1 (en) 2007-11-08

Similar Documents

Publication Publication Date Title
DE112007000662B4 (de) Verfahren zum Herstellen von Transistoren mit epitaktischem Silizium-Germanium für reduzierten Übergangswiderstand bei Feldeffekt-Transistoren
DE112006003439B4 (de) Verfahren zur Herstellung eines n-Kanal-Transistors und n-Kanal-Transistor
DE102008064959B3 (de) Halbleitervorrichtungen
DE102007011247B4 (de) Halbleiteranordnung, Verfahren zur Herstellung derselben und Verfahren zur Herstellung eines Transistors
DE112010004330B4 (de) Verfahren mit asymmetrischer Epitaxie zur Herstellung von Feldeffekttransistoren
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE112007002306B4 (de) Verspannter Feldeffekttransistor und Verfahren zu dessen Herstellung
DE102007030053B4 (de) Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
DE102006051492B4 (de) Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
DE112006003742B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung dergleichen
DE102006046363B4 (de) Verfahren zum Verringern von Kristalldefekten in Transistoren mit wieder aufgewachsenen flachen Übergängen durch geeignetes Auswählen von Kristallorientierungen
DE102013100857A1 (de) Finnenstruktur für ein FinFET-Bauteil
DE102010037736A1 (de) Tunnel-Feldeffekttransistoren
DE102017124127A1 (de) FinFET-Vorrichtung mit unterschiedlichen Linern für PFET und NFET sowie Verfahren zu ihrem Herstellen
DE10345347A1 (de) Verfahren zur Herstellung eines DMOS-Transistors mit lateralem Driftregionen-Dotierstoffprofil
DE102013227069B4 (de) Metalloxidhalbleitereinrichtungen und herstellungsverfahren
DE102005020410A1 (de) Transistorstruktur und zugehöriges Herstellungsverfahren
DE60028847T2 (de) Verfahren mit reduzierter Maskenzahl für die Herstellung von Mischsspannung-CMOS mit Hochleistung-Transistoren und -I/O Transistoren von hoher Zuverlässigkeit
DE102007007071B4 (de) Halbleiteranordnung mit einem grabenförmigen Isolationsgebiet und Verfahren zu deren Herstellung
DE102015204411B4 (de) Transistor und Verfahren zur Herstellung eines Transistors
DE102006059427B4 (de) Verfahren zum Ausbilden einer komprimierten Kanalschicht eines PMOS-Bauelements unter Verwendung eines Gateabstandshalters und ein durch selbiges hergestelltes PMOS-Bauelement
DE102007009915A1 (de) Halbleiterbauelement mit verformter Halbleiterlegierung mit einem Konzentrationsprofil
DE112018005441B4 (de) Struktur und Verfahren zur Verringerung eines lateralen Reihenwiderstands für Transistoren
DE102020127426A1 (de) Ein-/Ausgabevorrichtungen
DE102007004861B4 (de) Transistor mit eingebettetem Si/Ge-Material auf einem verspannten Halbleiter-auf-Isolator-Substrat und Verfahren zum Herstellen des Transistors

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition