JP5203350B2 - 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム - Google Patents

電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム Download PDF

Info

Publication number
JP5203350B2
JP5203350B2 JP2009500532A JP2009500532A JP5203350B2 JP 5203350 B2 JP5203350 B2 JP 5203350B2 JP 2009500532 A JP2009500532 A JP 2009500532A JP 2009500532 A JP2009500532 A JP 2009500532A JP 5203350 B2 JP5203350 B2 JP 5203350B2
Authority
JP
Japan
Prior art keywords
channel transistor
source
channel
drain regions
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009500532A
Other languages
English (en)
Other versions
JP2009529803A (ja
Inventor
シフレン,ルシアン
カヴァリエロス,ジャック,ティー
セア,スティーヴン,エム
ウェーバー,コリー,イー
ブラスク,ジャスティン,ケイ
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2009529803A publication Critical patent/JP2009529803A/ja
Application granted granted Critical
Publication of JP5203350B2 publication Critical patent/JP5203350B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は電界効果型トランジスタ中のシリコンゲルマニウム領域の分野に関する。
通常半導体素子においては、抵抗を減少させるためにシリサイド金属が半導体領域乗に形成される。たとえばシリコンのような半導体とシリサイドとの界面では、ショットキーバリアが抵抗の源である。このような抵抗は、バンドギャップを低くすることによって減少させることができる。このような抵抗の減少は、シリサイド又はサリサイドが形成される材料としてシリコンゲルマニウム(SiGe)が用いられるときに起こる。SiGeソース及びドレイン領域並びにニッケルシリサイド金属の使用については特許文献1に記載されている。バンドギャップダイアグラム及び特許文献1に関連するテキストには、特にニッケルシリサイドをSiGe上に用いることの利点が記載されている(図5、6、及び7と関連テキスト参照のこと)。
一軸性圧縮歪みが、たとえば埋め込みSiGeソース及びドレイン領域からトランジスタのチャネル領域へ直接的に与えられるときに、PMOSトランジスタの性能は改善される。同様に一軸性引っ張り歪みがNMOSトランジスタのチャネル上に設けられるときに、NMOSトランジスタの性能が向上することが知られている。このことは特許文献2に記載されている。
米国特許第6949482号明細書 米国特許出願第11/323688号明細書
[発明を実施するための最良の形態]
シリコンゲルマニウム(SiGe)ソース及びドレイン領域が用いられ、かつこれらの領域上にシリサイドが形成されるpチャネルトランジスタ及びnチャネルトランジスタの製造方法が記載されている。以降の説明では、本発明を完全に理解してもらうため、たとえば注入エネルギーレベルのような多くの具体的詳細について説明されている。これらの具体的詳細がなくとも本発明が実施可能であることは当業者には明らかである。場合によっては、本発明を不要に不明確しないために、周知の製造プロセスの詳細については記載していない。
図1では、単結晶シリコン基板の上部が図示されている。10a及び10bで表される基板の2つの独立した部分が図示されている。部分10aでは後述するように、nチャネルトランジスタが領域15内に形成される。基板部分10bでは、pチャネルトランジスタが領域16内に作製される。領域15は2つの分離溝11と12によって囲まれている。同様に領域16は2つの分離溝13と14によって囲まれている。溝11-14は、基板上部をエッチングしてその溝を誘電体で埋めることによって形成される浅い分離溝である。場合によっては、溝がエッチングされた後、溝内部に誘電体ライナが形成されるように二酸化シリコンが成長する。よってその溝は、たとえば堆積された二酸化シリコンのような材料によって満たされて良い。
図1では、4つの溝11-14の各々について溝の半分のみが図示されている。後述する実施例によっては、以降で論じるように、溝を満たす材料がエッチングされる。ある実施例では、材料は溝全体にわたってエッチングされる。また別な実施例では、材料は溝全幅未満の領域しかエッチングされない。図示されている溝の幅は、たとえばゲート構造に対して正確なサイズで表されているわけではない。便宜上溝は、集積回路内に設けられているときよりも、ゲート構造に対してかなり狭く図示されている。
ゲート構造は、領域15と16及び基板の同様の領域上に形成される。その結果形成される図2に図示されたゲート構造の各々は、基板内でチャネル領域から分離されたゲート20を分離するゲート誘電体21、及びゲート20上のハードマスク23を有する。側壁スペーサ22はゲート20の対向面上に設けられている。たとえばn型とp型のドーパントの先端部注入や側壁スペーサの形成といった処理工程についてはここでは説明しない。これらの工程は従来技術において知られている。しかも図示された特定のゲート構造は例示であって、本発明にとって重要ではない。
ゲート構造の形成後、nチャネルトランジスタ及びpチャネルトランジスタの両方のソース及びドレイン領域位置でシリコン基板をエッチングすることによって凹部30が形成される。図2では、凹部30は、ほぼゲート構造から分離溝まで延在している。各場合において凹部30はスペーサの下までわずかに延び、かつ分離溝によって取り囲まれていることに留意して欲しい。
ここでエピタキシャル成長が、nチャネルトランジスタ及びpチャネルトランジスタの両方のSiGeソース及びドレイン領域を成長するために用いられる。図示されているようにソース及びドレイン領域は、基板の本来の高さよりも隆起して良い。これらの領域は分離溝と直接隣接していることに留意して欲しい。
凹部内でSiGeが成長することで、nチャネルトランジスタとpチャネルトランジスタ両方のチャネル領域は圧縮歪みを受ける。pチャネルトランジスタについては、この歪みは、トランジスタ内での正孔移動度を向上させるので有利である。不幸なことにnチャネルトランジスタの場合では、同じ歪みは電子移動度を劣化させる。しかし一旦シリサイド又はサリサイドが形成されると、nチャネルトランジスタとpチャネルトランジスタ両方とも、SiGeに関連するシリサイドのバリア高さが低くなることによる利益を享受する。
図4は、pチャネルトランジスタ上の歪みをそのままにしながらnチャネルトランジスタのチャネル領域の歪みを緩和する一の方法を図示している。最初にpチャネルトランジスタ上でこれらのトランジスタのソース及びドレイン領域を覆うようにマスク部分が形成される。マスク部分はフォトレジスト41であって良い。続いて図4に図示されているように、イオン40がnチャネルトランジスタのSiGeソース及びドレイン領域へ注入されることで、SiGe内で緩和サイトとして機能する転位欠陥が生成される。これによりチャネル領域での歪みが減少して、その結果チャネル領域での電子移動度が改善される。nチャネルトランジスタのチャネル領域はシリコン表面付近(ゲート絶縁体直下)であるため、イオン照射は比較的浅くて良い。よって凹部の内部の深い領域で結晶格子乱す必要がない。注入されるイオンは名目上荷電担体ではないので、ソース及びドレイン領域の半導体特性に影響を及ぼさない。たとえば炭素が用いられて良い。約1-5×1016atoms/cm2の照射量で0.5-1.5Kevの注入エネルギーレベルが適切である。
この注入に続き、たとえばニッケルを用いるような通常の方法でシリサイドが形成される。pチャネルトランジスタのソース及びドレイン領域と比較して、nチャネルトランジスタのソース及びドレイン領域の格子を乱すことで、シリサイドの形成が助けられる。
図4に図示されているように、分離溝13の全体はマスクの下に含まれる。その一方で分離溝14はその半分だけがマスク41の下にある。このことは、この実施例にとっては分離領域とマスクとの位置合わせが重要ではないことを示している。問題は、pチャネルトランジスタのSiGeソース及びドレイン領域が注入から保護されることである。
代替実施例では、nチャネルトランジスタの応力は、分離溝内の材料の一部又は全部を除去することによって緩和される。再度図5を参照すると、マスク部分(部分50)がpチャネルトランジスタの上に設けられる。たとえば図5に図示された溝11や12のようなnチャネルトランジスタを取り囲む溝の少なくとも一部が曝露される。続いて二酸化シリコンのような溝中の材料が、等方性又は異方性エッチングプロセスによって溝からエッチングされる。図5の開口部52及び53によって図示されているように、溝底部の材料全てがエッチングされる必要はない。表面付近であるチャネル領域の歪みの緩和のみが必要である。
分離溝がnチャネルトランジスタとpチャネルトランジスタとを分離している場合、溝の全幅をエッチングすることで、pチャネルトランジスタとnチャネルトランジスタの両方での歪みを緩和することができる。これにより、pチャネルトランジスタでSiGeを用いる利点の1つが失われる。利点の1つとは具体的には歪みチャネルによって生成される高正孔移動度である。
この場合、マスク部分は、溝全部がエッチングされるのを防止しなければならない。たとえば図5のマスク部分51は溝11内の材料の一部を保護する。同様にマスク部分50は溝14の一部を保護する。マスク50と51はそれぞれ、特に異方性エッチングが用いられる場合に、溝14と11を満たす全ての材料がエッチングされるのを防止する。従ってpチャネルトランジスタが、図5に図示されたnチャネルトランジスタに対向する分離領域11の面上に設けられている場合、そのチャネル内での歪みは緩和されない。同様に開口部55は、領域16に対向する溝14の面上に設けられたnチャネルトランジスタからの歪みを緩和する。pチャネルトランジスタがnチャネルトランジスタと分離溝を共有しない場合、又は何らかの理由によって溝全部がエッチングされて良い場合、マスク部分は溝上に設けられる必要はない。このことは図5の溝12によって示されている。
図6に図示されているように、一旦歪みがnチャネルトランジスタのチャネル領域から緩和されると、シリサイド57をSiGe表面上に形成することができる。その結果溝は再度たとえば層間誘電層(ILD)によって満たされる。このように溝を再度満たしても、チャネル上には歪みは発生しない。図6では開口部52と53は誘電体58によって再度満たされることに留意して欲しい。
図7には追加の実施例が図示されている。nチャネルトランジスタには凹部は形成されず、むしろシリコンは、基板部分10a上のnチャネルトランジスタのソース及びドレイン領域62によって図示されているようにドーピングされる。図7の実施例については、たとえば図2に図示されているようなpチャネルトランジスタの凹部はエッチングされる。続いてSiGeがエピタキシャル成長することで、pチャネルトランジスタ用の領域60及びnチャネルトランジスタ用の領域63が形成される。領域63は、チャネル領域のレベルの上に位置しているので、nチャネルトランジスタのチャネル領域に歪みを発生させない。繰り返しになるが他の実施例と同様に、シリサイド65がSiGe表面上に形成される。従ってシリコン/シリサイド抵抗を下げる他の実施例での利点が得られる。
よってSiGeソース/ドレインを用いるnチャネルトランジスタでの歪みを緩和するプロセスについて説明してきた。これにより、SiGeによって形成されるシリサイドがpチャネルトランジスタとnチャネルトランジスタの両方で用いられるという利点が実現する。
シリコン基板上部の2つの領域に係る側部正面図である。この図では分離溝が形成されている。 ゲート構造、及び該ゲート構造に隣接する、シリコンをエッチングして形成された凹部を有する図1の構造を図示している。 SiGeソース及びドレイン領域が凹部内に形成された後における図2の構造を図示している。 pチャネルをマスクした後で、かつnチャネルトランジスタのイオン注入中における図3の構造を図示している。 代替処理における図3の構造を図示している。その代替処理では、マスク工程後に分離溝中の材料の一部がエッチングされる。 シリサイドがソース及びドレイン領域上に形成された後における図5の構造を図示している。 nチャネルトランジスタについてSiGeが凹んでいない他の実施例を図示している。

Claims (11)

  1. nチャネルトランジスタ及びpチャネルトランジスタの作製方法であって:
    nチャネルトランジスタ及びpチャネルトランジスタのゲート構造に隣接するソース及びドレイン領域用の凹部をシリコン基板中に形成する工程;
    前記凹部内にSiGeを成長させることで、前記nチャネルトランジスタ及びpチャネルトランジスタのソース及びドレイン領域を形成する工程;及び
    前記nチャネルトランジスタのソース領域の一側面及びドレイン領域の一側面に設けられ、かつ誘電材料で満たされた分離溝から前記誘電材料をエッチングすることによって、前記pチャネルトランジスタのチャネル領域での歪みに影響を及ぼすことなく、前記SiGeを成長させた結果発生した前記nチャネルトランジスタのチャネル領域での歪みを緩和する工程;
    を有する方法。
  2. 前記分離溝の全てに存在する前記誘電材料の全てがエッチングされない、請求項1に記載の方法。
  3. 前記ソース及びドレイン領域上にシリサイド金属を形成する工程を有する、請求項1に記載の方法。
  4. 前記ソース及びドレイン領域上にシリサイド金属を形成する工程を有する、請求項2に記載の方法。
  5. 前記シリサイド金属がニッケルを有する、請求項3に記載の方法。
  6. nチャネルトランジスタの作製方法であって:
    シリコン基板中に誘電材料で満たされた分離溝を形成する工程;
    前記分離溝に隣接する、前記シリコン基板中に形成された凹部内にSiGeエピタキシャルソース及びドレイン領域を成長させる工程;
    前記SiGeエピタキシャルソース及びドレイン領域内での歪みが緩和されるように前記分離溝の少なくとも一部から前記誘電材料をエッチングする工程;並びに
    前記SiGeエピタキシャルソース及びドレイン領域上にシリサイド金属を形成する工程;
    を有する方法。
  7. 前記シリサイド金属がニッケルを用いて形成される、請求項6に記載の方法。
  8. 前記の分離溝内の誘電材料が二酸化シリコンである、請求項7に記載の方法。
  9. 前記分離溝の少なくとも一部をマスクすることで、前記分離溝の曝露部分がエッチングされるときでも前記のマスクされた部分はエッチングされないようにする工程、を有する、請求項6に記載の方法。
  10. nチャネルトランジスタ及びpチャネルトランジスタの両方を有する集積回路であって、
    当該集積回路は:
    前記nチャネルトランジスタ及びpチャネルトランジスタの両方のソース及びドレイン領域で歪み発生させる、歪み誘起手段;並びに
    前記nチャネルトランジスタのソース及びドレイン領域での歪みが前記nチャネルトランジスタのチャネル領域での歪みを発生させることを防止しながら前記pチャネルトランジスタのチャネル領域での歪みを防止しない歪み緩和手段;
    を有し、
    前記歪み誘起手段は、シリコン基板内に形成される前記pチャネルトランジスタと前記nチャネルトランジスタの両方の凹部内で成長するSiGeソース及びドレイン領域で、
    前記歪み緩和手段は、前記nチャネルトランジスタのソースの一領域及びドレイン領域の一領域に設けられた分離溝を有し、
    前記分離溝は、前記SiGeソース及びドレイン領域の形成前に分離され、かつ誘電材料によって充填され、前記SiGeソース及びドレイン領域の形成後に、前記誘電材料を少なくとも部分的に除去することによって前記pチャネルトランジスタのソース一領域及びドレイン領域の一領域に設けられた分離溝に対して凹む、
    集積回路。
  11. 前記nチャネルトランジスタ及びpチャネルトランジスタのソース及びドレイン領域上にシリサイドを有する、請求項10に記載の集積回路。
JP2009500532A 2006-03-31 2007-03-29 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム Active JP5203350B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/395,939 2006-03-31
US11/395,939 US7566605B2 (en) 2006-03-31 2006-03-31 Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
PCT/US2007/007707 WO2007126909A1 (en) 2006-03-31 2007-03-29 Epitaxial silicon germanium for reduced contact resistance in field-effect transistors

Publications (2)

Publication Number Publication Date
JP2009529803A JP2009529803A (ja) 2009-08-20
JP5203350B2 true JP5203350B2 (ja) 2013-06-05

Family

ID=38559671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009500532A Active JP5203350B2 (ja) 2006-03-31 2007-03-29 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム

Country Status (8)

Country Link
US (2) US7566605B2 (ja)
JP (1) JP5203350B2 (ja)
KR (1) KR101017477B1 (ja)
CN (1) CN101416297B (ja)
DE (1) DE112007000662B4 (ja)
GB (1) GB2448258B (ja)
HK (1) HK1131469A1 (ja)
WO (1) WO2007126909A1 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2004260936B2 (en) 2003-06-27 2010-06-10 Amgen Fremont Inc. Antibodies directed to the deletion mutants of epidermal growth factor receptor and uses thereof
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
JP2009032986A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置およびその製造方法
US7833848B2 (en) * 2007-09-28 2010-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard masks on gates in semiconductor manufacturing process
US8796084B2 (en) * 2007-09-28 2014-08-05 Taiwan Semiconductor Manufacturing Company Ltd. Method for removing hard masks on gates in semiconductor manufacturing process
DE102007052053B4 (de) * 2007-10-31 2012-02-02 Advanced Micro Devices, Inc. Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
US8779477B2 (en) * 2008-08-14 2014-07-15 Intel Corporation Enhanced dislocation stress transistor
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US7670934B1 (en) * 2009-01-26 2010-03-02 Globalfoundries Inc. Methods for fabricating MOS devices having epitaxially grown stress-inducing source and drain regions
DE102009006800B4 (de) * 2009-01-30 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Transistoren und entsprechendes Halbleiterbauelement
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8936976B2 (en) 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
TWI585861B (zh) * 2010-02-10 2017-06-01 格羅方德半導體公司 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法
KR101730939B1 (ko) 2010-06-09 2017-05-12 삼성전자 주식회사 반도체 소자 및 그 제조방법
CN102299092B (zh) * 2010-06-22 2013-10-30 中国科学院微电子研究所 一种半导体器件及其形成方法
DE102010030768B4 (de) * 2010-06-30 2012-05-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
KR101673908B1 (ko) 2010-07-14 2016-11-09 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102010038746B4 (de) * 2010-07-30 2013-11-14 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Reduzieren der Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
CN102468164B (zh) * 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
DE102010064287B4 (de) * 2010-12-28 2014-05-08 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum zuverlässigen Einbetten von Metallsilizidkontaktgebieten in stark dotierte Drain- und Sourcegebiete durch eine Silizidstoppschicht und entsprechendes Halbleiterbauelement
US10490459B2 (en) 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
EP2562347B1 (de) 2011-08-23 2019-05-22 BAUER Maschinen GmbH Unterwasser-arbeitsanordnung und verfahren zu ihrer verankerung
CN102983079B (zh) * 2011-09-06 2017-12-19 联华电子股份有限公司 半导体工艺
CN103999200B (zh) * 2011-12-23 2016-12-28 英特尔公司 具有包含不同材料取向或组成的纳米线或半导体主体的共衬底半导体器件
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US9129842B2 (en) * 2014-01-17 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of silicide contacts in semiconductor devices
US9318582B2 (en) 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9837533B2 (en) * 2014-07-01 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9716160B2 (en) * 2014-08-01 2017-07-25 International Business Machines Corporation Extended contact area using undercut silicide extensions
US9647073B2 (en) 2014-10-29 2017-05-09 Globalfoundries Inc. Transistor structures and fabrication methods thereof
CN108028279A (zh) * 2015-09-25 2018-05-11 英特尔公司 在晶体管隔片下的电阻降低
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
CN107039521A (zh) * 2016-02-04 2017-08-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9905692B2 (en) 2016-05-20 2018-02-27 Globalfoundries Inc. SOI FinFET fins with recessed fins and epitaxy in source drain region
JP6951903B2 (ja) * 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US20200212227A1 (en) * 2016-08-17 2020-07-02 Boe Technology Group Co., Ltd. Thin film transistor, manufacturing method thereof, array substrate, display device
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US6006520A (en) * 1997-10-06 1999-12-28 General Motors Corporation Pneumatic assisted brake apply system
JP3070674B2 (ja) * 1997-11-06 2000-07-31 日本電気株式会社 半導体装置の製造方法
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
AU2002319801A1 (en) 2001-08-09 2003-02-24 Amberwave Systems Corporation Optimized buried-channel fets based on sige heterostructures
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
JP2004014878A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置
JP2004063591A (ja) * 2002-07-25 2004-02-26 Sony Corp 半導体装置とその製造方法
JP2004111479A (ja) * 2002-09-13 2004-04-08 Toshiba Corp 半導体装置及びその製造方法
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
DE10261145A1 (de) * 2002-12-27 2004-07-22 Advanced Micro Devices, Inc., Sunnyvale Verbesserter Transistor mit abgesenktem Gate und ein Verfahren zur Herstellung desselben
US6825086B2 (en) 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
JP2004281964A (ja) * 2003-03-19 2004-10-07 Toshiba Corp 半導体集積回路装置とその製造方法
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20050026342A1 (en) * 2003-07-28 2005-02-03 Ka-Hing Fung Semiconductor device having improved short channel effects, and method of forming thereof
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6872626B1 (en) * 2003-11-21 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain and a transistor employing the same
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
JP4102334B2 (ja) * 2004-06-16 2008-06-18 株式会社東芝 半導体装置及びその製造方法
KR100642747B1 (ko) * 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US7592213B2 (en) 2005-12-29 2009-09-22 Intel Corporation Tensile strained NMOS transistor using group III-N source/drain regions

Also Published As

Publication number Publication date
US7566605B2 (en) 2009-07-28
US20090230480A1 (en) 2009-09-17
US20070231983A1 (en) 2007-10-04
WO2007126909A1 (en) 2007-11-08
KR20080108496A (ko) 2008-12-15
JP2009529803A (ja) 2009-08-20
HK1131469A1 (en) 2010-01-22
DE112007000662B4 (de) 2010-09-23
GB2448258A (en) 2008-10-08
CN101416297A (zh) 2009-04-22
DE112007000662T5 (de) 2009-04-30
CN101416297B (zh) 2013-10-23
GB2448258B (en) 2011-08-17
US7851291B2 (en) 2010-12-14
KR101017477B1 (ko) 2011-02-25
GB0812725D0 (en) 2008-08-20

Similar Documents

Publication Publication Date Title
JP5203350B2 (ja) 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム
US7893503B2 (en) Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain
US6881635B1 (en) Strained silicon NMOS devices with embedded source/drain
KR100763229B1 (ko) 임베디드 스트레서 구조 및 방법
EP2036130B1 (en) N-channel mosfets comprising dual stressors, and methods for forming the same
US8502301B2 (en) Semiconductor device and method for fabricating the same
US20090184341A1 (en) Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US7514309B2 (en) Methods to selectively protect NMOS regions, PMOS regions, and gate layers during EPI process
US8241973B2 (en) Method for increasing penetration depth of drain and source implantation species for a given gate height
US7892930B2 (en) Method to improve transistor tox using SI recessing with no additional masking steps
US7572706B2 (en) Source/drain stressor and method therefor
US20090045411A1 (en) Forming Embedded Dielectric Layers Adjacent to Sidewalls of Shallow Trench Isolation Regions
JP5614184B2 (ja) 半導体装置の製造方法
US8338274B2 (en) Transistor device comprising an embedded semiconductor alloy having an asymmetric configuration
JP2008192686A (ja) 半導体装置及びその製造方法
JP4537014B2 (ja) 分離されたパンチスルー防止膜を有する集積回路トランジスタの形成方法
US8324039B2 (en) Reduced silicon thickness of N-channel transistors in SOI CMOS devices
WO2008054678A1 (en) A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130213

R150 Certificate of patent or registration of utility model

Ref document number: 5203350

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250