KR20080108496A - 전계 효과 트랜지스터에서 접촉 저항 감소를 위한 애피택셜실리콘 게르마늄 - Google Patents

전계 효과 트랜지스터에서 접촉 저항 감소를 위한 애피택셜실리콘 게르마늄 Download PDF

Info

Publication number
KR20080108496A
KR20080108496A KR1020087023797A KR20087023797A KR20080108496A KR 20080108496 A KR20080108496 A KR 20080108496A KR 1020087023797 A KR1020087023797 A KR 1020087023797A KR 20087023797 A KR20087023797 A KR 20087023797A KR 20080108496 A KR20080108496 A KR 20080108496A
Authority
KR
South Korea
Prior art keywords
channel
source
drain regions
strain
channel transistors
Prior art date
Application number
KR1020087023797A
Other languages
English (en)
Other versions
KR101017477B1 (ko
Inventor
루시안 쉬프렌
잭 티. 카발리에로스
스티븐 엠. 시
코리 이. 웨버
저스틴 케이. 브라스크
Original Assignee
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코오퍼레이션 filed Critical 인텔 코오퍼레이션
Publication of KR20080108496A publication Critical patent/KR20080108496A/ko
Application granted granted Critical
Publication of KR101017477B1 publication Critical patent/KR101017477B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

리세싱된 애피택셜 SiGe 소스 및 드레인 영역을 구비한 n-채널 트랜지스터에 대하여 채널 응력을 선택적으로 완화하는 방법이 기술된다. 이를 통해, p-채널 트랜지스터 내의 변형에는 영향을 미치지 않고 n-채널 트랜지스터를 위한 전자 이동성을 증가시킨다. SiGe는 실리사이드가 형성되면 좀더 낮은 저항을 제공한다.
실리콘 게르마늄, 애피택셜, SiGe, 접촉 저항, 변형 완화, 실리사이드

Description

전계 효과 트랜지스터에서 접촉 저항 감소를 위한 애피택셜 실리콘 게르마늄{EPITAXIAL SILICON GERMANIUM FOR REDUCED CONTACT RESISTANCE IN FIELD-EFFECT TRANSISTORS}
본 발명은 전계 효과 트랜지스터에서 실리콘 게르마늄 영역에 대한 분야와 관련된 것이다.
흔히 반도체 디바이스에서는 저항을 감소시키기 위해 반도체 영역 상에 실리사이드 금속(silicide metal)이 형성된다. 실리콘과 같은 반도체와, 위 실리사이드 사이의 경계에, 저항의 원인인 쇼트키 장벽(Shottkey barrier)이 있다. 이러한 저항은 밴드갭(bandgap)을 감소시킴으로써 낮출 수 있는데, 실리사이드 또는 살리사이드(salicide)가 형성되는 재료로서 실리콘 게르마늄(SiGe)이 사용되는 경우 이러한 밴드갭 감소가 일어난다. 소스 및 드레인 영역의 SiGe, 그리고 니켈 실리사이드 금속의 사용은 미국 특허 제6,949,482호에 기술되어 있다. 본 특허의 밴드갭 도면 및 관련 기재는 SiGe 상에, 특히 니켈 실리사이드를 사용하는 것의 이점을 기술하고 있다. (도 5, 6, 7 및 관련 기재를 참조하라.)
PMOS 트랜지스터에서는, 예를 들어, 내장된 SiGe 소스 및 드레인 영역으로부터 그 트랜지스터의 채널 영역으로, 단축 압축 변형(uniaxial compressive strain) 이 직접 전달되는 경우 개선된 성능이 얻어짐이 알려져 있다. 유사하게, NMOS 트랜지스터에서는, 단축 인장 변형(uniaxial tensile strain)이 그 트랜지스터의 채널 상에 놓이는 경우 향상된 성능이 얻어짐이 알려져 있다. 이는, 본원의 출원인에게 양도되고 2005년 12월 29일 출원된 미국 출원 번호 제11/323,688호, "A Tensile Strained NMOS Transistor Using Group Ⅲ-N Source/Drain Regions(그룹 Ⅲ-N 소스/드레인 영역을 사용하는 인장 변형된 NMOS 트랜지스터)"에 설명되어 있다.
도 1은 격리 트렌치(isolation tranches)가 형성된 실리콘 기판 상부의 2가지 단면의 횡단면, 정면도.
도 2는 게이트 구조를 구비하고, 리세스(recesses)가 그 게이트 구조에 인접한 실리콘에 에칭(etching)된 도 1의 구조를 도시한 도면.
도 3은 SiGe 소스 및 드레인 영역이 리세스에서 성장된 후의 도 2의 구조를 도시한 도면.
도 4는 p-채널 트랜지스터를 마스킹(masking)한 후에, n-채널 트랜지스터의 이온 주입(ion implantation) 중인 도 3의 구조를 도시한 도면.
도 5는 마스킹 단계 후에 격리 트렌치 내의 재료 중 일부가 에칭되는 대안적인 공정에서의 도 3의 구조를 도시한 도면.
도 6은 실리사이드가 소스 및 드레인 영역 상에 형성된 후의 도 5의 구조를 도시한 도면.
도 7은 n-채널 트랜지스터에 있어, SiGe가 리세스되지 않는 다른 실시예를 도시한 도면.
실리콘 게르마늄(SiGe) 소스 및 드레인 영역이 사용되고 이들 영역 상에 실리사이드가 형성되는 p-채널 및 n-채널 트랜지스터를 제조하는 방법이 설명된다. 이하의 설명에서는, 본 발명의 완전한 이해를 제공하기 위해 주입 에너지 레벨(implantation energy levels) 등의 다수의 특정 세부사항이 상술된다. 이러한 특정 세부사항 없이도 본 발명이 실시될 수 있음은 당업자에게 명백할 것이다. 한편, 불필요하게 본 발명을 이해하기 힘들게 하지 않도록, 주지의 제조 공정은 자세히 기술하지 않는다.
도 1에는, 단결정 실리콘 기판의 상부가 도시되어 있다. 기판의 분리된 2개의 단면이 10a 및 10b로 식별되어 나타나 있다. 단면(10a) 상에는, 이하에 설명되는 바와 같이, n-채널 트랜지스터가 영역(15)에 형성된다. 기판 단면(10b) 상에는, p-채널 트랜지스터가 영역(16)에 제조된다. 영역(15)은 2개의 격리 트렌치(11 및 12)에 의해 경계가 지어진다. 유사하게, 영역(16)은 격리 트렌치(13 및 14)에 의해 경계가 지어진다. 트렌치들(11 내지 14)은 기판의 상부 영역을 에칭하고 그 격리 트렌치를 유전체로 채움으로써 형성된 얕은 격리 트렌치(shallow isolation trenches)이다. 일부 경우에는, 트렌치가 에칭된 후, 실리콘 이산화물을 성장시켜 그 트렌치 내에 유전체 라이너(dielectric liner)를 형성한다. 그러면, 트렌치가 성막된 실리콘 이산화물과 같은 재료로 채워질 수 있다.
도 1에서, 4개의 트렌치(11 내지 14) 각각에 대하여 트렌치의 절반만이 도시되어 있다. 이하에 기술되는 실시예들 중 일부에서, 이하에 논의되는 바와 같이, 트렌치를 채우는 재료가 에칭된다. 일부 경우에는 재료가 전체 트렌치에 걸쳐 에칭되고, 다른 경우에는 트렌치의 전체 폭보다 좁게 에칭된다. 첨부된 도면들에 도시된 트렌치 폭은, 예컨대, 게이트 구조와 비교해 축적에 맞는 것이 아니다. 편의를 위해, 실제 집적 회로에서보다 트렌치가 게이트 구조에 비해 훨씬 좁게 도시되어 있다.
기판에서 영역들(15 및 16) 및 그와 유사한 영역 상에 게이트 구조가 형성된다. 도 2에 도시된 결과적인 게이트 구조 각각은 게이트(20)를 기판의 채널 영역으로부터 분리시키는 게이트 유전체(21), 그리고 게이트(20) 위를 덮는 하드 마스크(23)를 포함한다. 측벽 스페이서들(sidewall spacers; 22)이 게이트(20)의 마주보는 면들 상에 배치된다. n-타입 및 p-타입 도펀트 팁 주입(dopant tip implants), 그리고 측벽 스페이서의 형성과 같은 공정 단계는 본 명세서에 기술되지 않는다. 이러한 단계들은 종래 기술로서 알려져 있다. 나아가, 도시된 특정 게이트 구조는 예시적인 것일 뿐이고, 본 발명에 필수적인 것이 아니다.
게이트 구조가 형성된 후, n-채널 및 p-채널 트랜지스터 모두에 있어 소스 및 드레인 영역이 위치할 부분의 실리콘 기판에 리세스(30)가 에칭된다. 도 2에는, 리세스(30)가 거의 게이트 구조로부터 격리 트렌치까지 확장되어 있다. 각각의 경우에서, 리세스(30)가 스페이서 아래로 약간 확장되며, 격리 트렌치에 의해 경계가 지어짐을 주목하여야 한다.
이제, n-채널 및 p-채널 트랜지스터 모두에 있어, SiGe 소스 및 드레인 영역을 성장시키기 위해 에피택셜 성장이 사용된다. 도시된 바와 같이, 이 소스 및 드레인 영역은 원래 기판 높이보다 높게 성장될 수 있다. 이들 영역이 격리 트렌치와 바로 인접하여 있음을 주목하여야 한다.
리세스 내의 SiGe의 성장은 n-채널 및 p-채널 트랜지스터 모두에 있어, 압축 변형된 채널 영역을 초래한다. p-채널 트랜지스터의 경우, 이러한 변형이 그 트랜지스터의 홀 이동성을 개선하는데 유익하다. 불행히도, n-채널 트랜지스터의 경우, 동일한 변형이 전자 이동성을 열화시킨다. 그러나, 실리사이드 또는 살리사이드가 형성되면, p-채널 및 n-채널 트랜지스터 모두가 SiGe와 연관된 좀더 낮은 실리사이드 장벽 높이의 혜택을 받는다.
도 4는 p-채널 트랜지스터 상의 변형은 그대로 두지만, n-채널 트랜지스터의 채널 영역 상의 변형만을 완화하는 일 공정을 도시한다. 먼저, 포토레지스트(41)일 수 있는 마스킹 부재가 p-채널 트랜지스터 상에 형성되어, 그 트랜지스터의 소스 및 드레인 영역을 덮는다. 나아가, 도 4에 도시된 것처럼, 이온(40)이 n-채널 트랜지스터의 SiGe 소스 및 드레인 영역에 주입되어 SiGe 내에서 완화 지점(relaxation sites)으로 동작하는 변위 결함(dislocation defects)을 생성한다. 이는 채널 영역 상의 변형을 감소시키고, 결과적으로 채널 영역의 전자 이동성을 개선한다. n-채널 트랜지스터의 채널 영역은 실리콘의 표면에 가깝고(게이트 절연체 바로 아래), 따라서 리세스 내의 깊은 곳의 결정 격자(crystal lattice)를 파괴할 필요가 없으므로, 위 이온 투하(ion bombardment)는 비교적 얕을 수 있다. 주입되는 이온은 보통 전하 캐리어(charge carrier)가 아니므로, 소스 및 드레인 영역의 반도체 속성에 영향을 미치지 않는다. 예컨대, 탄소가 사용될 수 있다. 대략 1E16-5E16 atoms/㎠의 주입량(dose)으로, 0.5-1.5KeV의 주입 에너지 레벨이 적절하다.
이러한 주입에 이어, 니켈을 이용하는 등의 통상적인 방식으로 실리사이드가 형성된다. p-채널 트랜지스터의 소스 및 드레인 영역과 비교하면, n-채널 소스 및 드레인 영역의 격자 파괴는 실리사이드의 형성을 돕는다.
도 4에 도시된 것처럼, 격리 트렌치(13)는 그 전체가 마스크 아래에 포함되는 반면, 격리 트렌치(14)는 절반만이 마스크(41) 아래에 있다. 이는 본 실시예의 경우, 격리 영역에 대한 마스크의 정렬이 중요하지 않다는 점을 보여준다. 중요한 것은, p-채널 트랜지스터의 SiGe 소스 및 드레인 영역이 주입으로부터 보호된다는 것이다.
본 발명의 다른 실시예에서, 격리 트렌치 내의 재료 중의 일부 또는 전부를 제거함으로써 n-채널 트랜지스터 내의 응력이 완화된다. 도 5를 참조하면, 다시 한 번, 마스킹 부재(부재(50))가 p-채널 트랜지스터 상에 배치된다. 도 5의 트렌치들(11 및 12)과 같은 n-채널 트랜지스터의 경계를 짓는 트렌치들은 적어도 부분적으로 노출된다. 나아가, 실리콘 이산화물 등의 트렌치 내 재료가 등방성 또는 이방성 에칭 공정(isotropic or anisotropic etching process) 중의 하나를 이용해 트렌치로부터 에칭된다. 도 5의 개구들(52 및 53)에 의해 도시된 것처럼, 트렌치 바닥의 모든 재료가 에칭될 필요는 없다. 표면에 가까운, 채널 영역 내의 변형만이 완화될 필요가 있다.
격리 트렌치가 n-채널 트랜지스터를 p-채널 트랜지스터로부터 분리하고 있는 경우라면, 트렌치의 전체 폭을 에칭하는 것은 p-채널 및 n-채널 트랜지스터 양측에서의 변형 완화를 야기할 수 있다. 이는 p-채널 트랜지스터에서 SiGe를 사용함으로써 얻는 이점 중 하나를 없앨 수 있는데, 구체적으로, 변형된 채널에 의해 생성되는 좀더 높은 홀 이동성이 사라질 수 있다.
이러한 경우, 전체 트렌치가 에칭되는 것을 마스킹 부재가 방지하여야 한다. 예컨대, 도 5의 마스킹 부재(51)는 트렌치(11) 내의 재료의 일부를 보호한다. 유사하게, 마스킹 부재(50)는 트렌치(14)의 일부를 보호한다. 마스크들(50 및 51)은 특히, 이방성 에천트(anisotropic etchant)가 사용되는 경우 트렌치들(14 및 11)을 각각 채우는 모든 재료가 에칭되는 것을 방지한다. 따라서, p-채널 트랜지스터가 도 5에 도시된 n-채널 트랜지스터와 마주 보도록 격리 영역(11) 옆에 배치된 경우, 그 채널의 변형은 완화되지 않을 것이다. 유사하게, 개구(55)는 영역(16)과 마주 보도록 트렌치(14) 옆에 배치된 n-채널 트랜지스터로부터 변형을 완화할 수 있다. p-채널 트랜지스터가 n-채널 트랜지스터와 격리 트렌치를 공유하지 않거나, 다른 이유로 전체 트렌치가 에칭될 수 있는 경우, 마스킹 부재가 트렌치 상에 놓일 필요는 없다. 이러한 점이 트렌치(12)에 의해 도 5에 도시되어 있다.
도 6에 도시된 것처럼, n-채널 트랜지스터의 채널 영역의 변형이 완화되면, 실리사이드(57)가 SiGe 표면 상에 형성될 수 있다. 이어서, 예컨대, 층간 유전체(interlayer dielectric)(ILD)로 트렌치가 다시 채워질 수 있다. 이렇게 트렌치를 다시 채우는 것은 채널 상에 변형을 생성하지 않는다. 도 6에서 개구들(52 및 53)이 유전체(58)로 다시 채워진 것을 주목하여야 한다.
도 7에는 본 발명의 또 다른 실시예가 도시되어 있다. n-채널 트랜지스터에 대해서는 리세스가 형성되어 있지 않고, 오히려 기판 단면(10a) 상의 n-채널 트랜지스터를 위하여, 소스 및 드레인 영역(62)에 의해 도시된 바와 같이 실리콘이 도핑되어 있다. 도 2에 도시된 것과 같은 리세스들은 도 7의 실시예의 경우 p-채널 트랜지스터에 대해서만 에칭되어 있다. 나아가, SiGe가 에피택셜적으로 성장되어, p-트랜지스터를 위한 영역(60) 및 n-트랜지스터를 위한 영역(63)을 형성한다. 영역(63)은 채널 영역 높이보다 위에 있어서, n-채널 트랜지스터의 채널 영역에 변형을 일으키지 않는다. 다시 한 번, 본 발명의 다른 실시예에서와 같이, 실리사이드(65)가 SiGe 표면 상에 형성된다. 따라서, 본 발명의 다른 실시예에서 획득되는 실리콘/실리사이드 저항을 낮추는 이점이 달성된다.
이와 같이, SiGe 소스/드레인을 사용하는 n-채널 트랜지스터 내의 변형을 완화하기 위한 공정이 기술되었다. 이를 통해, SiGe에 형성된 실리사이드의 이점을 p-채널 및 n-채널 트랜지스터 양자 상에서 이용할 수 있게 된다.

Claims (20)

  1. n-채널 및 p-채널 트랜지스터들을 제조하는 방법으로서,
    n-채널 및 p-채널 트랜지스터들을 위한 게이트 구조들에 인접한 소스 및 드레인 영역들을 위해 실리콘 기판에 리세스들(recesses)을 형성하는 단계;
    상기 n-채널 및 p-채널 트랜지스터들을 위한 소스 및 드레인 영역들을 형성하기 위해 상기 리세스들 내에 SiGe를 성장시키는 단계; 및
    상기 p-채널 트랜지스터들의 채널 영역들 내의 변형에는 실질적으로 영향을 미치지 않고, 상기 SiGe를 성장시키는 것으로부터 기인한, 상기 n-채널 트랜지스터들의 채널 영역들 내의 변형(strain)을 완화하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 변형을 완화하는 상기 단계는, 상기 SiGe를 비정질화(amorphizing)하기 위해 상기 n-채널 트랜지스터들의 상기 소스 및 드레인 영역들에 이온들을 주입함으로써 이루어지는, 방법.
  3. 제2항에 있어서,
    상기 이온들은 기본적으로 비-전하 전달 원자들(non-charge carrying atoms)인, 방법.
  4. 제3항에 있어서,
    상기 이온들은 탄소 이온들을 포함하는, 방법.
  5. 제1항에 있어서,
    상기 n-채널 트랜지스터들의 상기 변형을 완화하는 상기 단계는, 상기 n-채널 트랜지스터들의 상기 소스 영역의 일측 및 상기 드레인 영역의 일측에 배치된 격리 트렌치들(isolation trenches)로부터 유전체 재료(dielectric material)를 에칭함으로써 획득되는, 방법.
  6. 제5항에 있어서,
    모든 상기 트렌치 내의 상기 유전체 모두가 에칭되는 것은 아닌, 방법.
  7. 제1항에 있어서,
    상기 소스 및 드레인 영역들 상에 실리사이드 금속(silicide metal)을 형성하는 단계를 포함하는 방법.
  8. 제2항에 있어서,
    상기 소스 및 드레인 영역들 상에 실리사이드 금속을 형성하는 단계를 포함하는 방법.
  9. 제5항에 있어서,
    상기 소스 및 드레인 영역들 상에 실리사이드 금속을 형성하는 단계를 포함하는 방법.
  10. 제9항에 있어서,
    상기 실리사이드 금속은 니켈을 포함하는, 방법.
  11. n-채널 트랜지스터를 형성하는 방법으로서,
    유전체 재료로 채워진 격리 트렌치들을 실리콘 기판에 형성하는 단계;
    상기 격리 트렌치들에 인접한 상기 기판에 형성되는 리세스들에 SiGe 애피택셜 소스 및 드레인 영역들을 성장시키는 단계;
    상기 SiGe 소스 및 드레인 영역들 내의 변형을 완화하도록 상기 트렌치들 중 적어도 일부로부터 상기 유전체 재료를 에칭하는 단계; 및
    상기 소스 및 드레인 영역들 상에 실리사이드 금속을 형성하는 단계
    를 포함하는 방법.
  12. 제11항에 있어서,
    상기 실리사이드는 니켈을 사용하여 형성되는, 방법.
  13. 제12항에 있어서,
    상기 트렌치들 내의 상기 유전체 재료는 실리콘 이산화물(silicon dioxide)인, 방법.
  14. 제11항에 있어서,
    상기 트렌치들 중 적어도 하나의 트렌치의 일부를 마스킹하여 상기 트렌치의 노출된 부분이 에칭될 때에 상기 트렌치의 마스킹된 부분은 에칭되지 않도록 하는 단계를 포함하는 방법.
  15. n-채널 및 p-채널 트랜지스터들 양자를 구비하는 집적 회로(integrated circuit)로서,
    상기 n-채널 및 p-채널 트랜지스터들 양자의 소스 및 드레인 영역들 내의 변형을 일으키는 변형 유도 수단(strain inducing means); 및
    상기 n-채널 트랜지스터의 상기 소스 및 드레인 영역들의 상기 변형이 상기 n-채널 트랜지스터의 채널 영역들 상에 변형을 유발하는 것은 방지하면서, 상기 p-채널 트랜지스터들의 채널 영역들 내의 상기 변형은 방지하지 않는 변형 완화 수단(strain relieving means)
    을 포함하는 집적 회로.
  16. 제15항에 있어서,
    상기 변형 유도 수단은 SiGe 소스 및 드레인 영역들인, 집적 회로.
  17. 제16항에 있어서,
    상기 변형 완화 수단은 상기 p-채널 트랜지스터들의 상기 소스 및 드레인 영역들의 옆에 배치된 트렌치들과는 상이한 유전체로 채워진, 상기 n-채널 트랜지스터들의 상기 소스 및 드레인 영역들의 옆에 배치된 격리 트렌치들을 포함하는, 집적 회로.
  18. 제16항에 있어서,
    상기 변형 완화 수단은 상기 n-채널 트랜지스터들의 상기 소스 및 드레인 영역 내의 비정질 SiGe 영역(amorphous SiGe region)을 포함하는, 집적 회로.
  19. 제17항에 있어서,
    상기 n-채널 및 p-채널 트랜지스터들의 상기 소스 및 드레인 영역들 상에 실리사이드를 포함하는 집적 회로.
  20. 제18항에 있어서,
    상기 n-채널 및 p-채널 트랜지스터들의 상기 소스 및 드레인 영역들 상에 실리사이드를 포함하는 집적 회로.
KR1020087023797A 2006-03-31 2007-03-29 전계 효과 트랜지스터에서 접촉 저항 감소를 위한 애피택셜실리콘 게르마늄 KR101017477B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/395,939 2006-03-31
US11/395,939 US7566605B2 (en) 2006-03-31 2006-03-31 Epitaxial silicon germanium for reduced contact resistance in field-effect transistors

Publications (2)

Publication Number Publication Date
KR20080108496A true KR20080108496A (ko) 2008-12-15
KR101017477B1 KR101017477B1 (ko) 2011-02-25

Family

ID=38559671

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087023797A KR101017477B1 (ko) 2006-03-31 2007-03-29 전계 효과 트랜지스터에서 접촉 저항 감소를 위한 애피택셜실리콘 게르마늄

Country Status (8)

Country Link
US (2) US7566605B2 (ko)
JP (1) JP5203350B2 (ko)
KR (1) KR101017477B1 (ko)
CN (1) CN101416297B (ko)
DE (1) DE112007000662B4 (ko)
GB (1) GB2448258B (ko)
HK (1) HK1131469A1 (ko)
WO (1) WO2007126909A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8470703B2 (en) 2010-06-09 2013-06-25 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1638606B1 (en) 2003-06-27 2016-01-06 Amgen Fremont Inc. Antibodies directed to the deletion mutants of epidermal growth factor receptor and uses thereof
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
JP2009032986A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置およびその製造方法
US8796084B2 (en) * 2007-09-28 2014-08-05 Taiwan Semiconductor Manufacturing Company Ltd. Method for removing hard masks on gates in semiconductor manufacturing process
US7833848B2 (en) * 2007-09-28 2010-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard masks on gates in semiconductor manufacturing process
DE102007052053B4 (de) * 2007-10-31 2012-02-02 Advanced Micro Devices, Inc. Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
US8779477B2 (en) * 2008-08-14 2014-07-15 Intel Corporation Enhanced dislocation stress transistor
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US7670934B1 (en) * 2009-01-26 2010-03-02 Globalfoundries Inc. Methods for fabricating MOS devices having epitaxially grown stress-inducing source and drain regions
DE102009006800B4 (de) * 2009-01-30 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Transistoren und entsprechendes Halbleiterbauelement
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8936976B2 (en) 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
TWI585861B (zh) * 2010-02-10 2017-06-01 格羅方德半導體公司 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法
CN102299092B (zh) * 2010-06-22 2013-10-30 中国科学院微电子研究所 一种半导体器件及其形成方法
DE102010030768B4 (de) * 2010-06-30 2012-05-31 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
KR101673908B1 (ko) 2010-07-14 2016-11-09 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102010038746B4 (de) * 2010-07-30 2013-11-14 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Reduzieren der Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8558279B2 (en) * 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
CN102468164B (zh) * 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
DE102010064287B4 (de) 2010-12-28 2014-05-08 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum zuverlässigen Einbetten von Metallsilizidkontaktgebieten in stark dotierte Drain- und Sourcegebiete durch eine Silizidstoppschicht und entsprechendes Halbleiterbauelement
US10490459B2 (en) * 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
EP2562347B1 (de) 2011-08-23 2019-05-22 BAUER Maschinen GmbH Unterwasser-arbeitsanordnung und verfahren zu ihrer verankerung
CN102983079B (zh) * 2011-09-06 2017-12-19 联华电子股份有限公司 半导体工艺
CN106847805B (zh) * 2011-12-23 2020-08-21 英特尔公司 具有包含不同材料取向或组成的纳米线或半导体主体的共衬底半导体器件
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US9129842B2 (en) 2014-01-17 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of silicide contacts in semiconductor devices
US9318582B2 (en) 2014-03-17 2016-04-19 International Business Machines Corporation Method of preventing epitaxy creeping under the spacer
US9837533B2 (en) * 2014-07-01 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9716160B2 (en) * 2014-08-01 2017-07-25 International Business Machines Corporation Extended contact area using undercut silicide extensions
US9647073B2 (en) 2014-10-29 2017-05-09 Globalfoundries Inc. Transistor structures and fabrication methods thereof
WO2017052591A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Resistance reduction under transistor spacers
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
CN107039521A (zh) * 2016-02-04 2017-08-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9905692B2 (en) 2016-05-20 2018-02-27 Globalfoundries Inc. SOI FinFET fins with recessed fins and epitaxy in source drain region
JP6951903B2 (ja) * 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US20200212227A1 (en) * 2016-08-17 2020-07-02 Boe Technology Group Co., Ltd. Thin film transistor, manufacturing method thereof, array substrate, display device
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
US9917060B1 (en) 2016-10-21 2018-03-13 International Business Machines Corporation Forming a contact for a semiconductor device
US10008497B2 (en) * 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US6006520A (en) 1997-10-06 1999-12-28 General Motors Corporation Pneumatic assisted brake apply system
JP3070674B2 (ja) * 1997-11-06 2000-07-31 日本電気株式会社 半導体装置の製造方法
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
AU2002319801A1 (en) 2001-08-09 2003-02-24 Amberwave Systems Corporation Optimized buried-channel fets based on sige heterostructures
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
JP2004014878A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置
JP2004063591A (ja) * 2002-07-25 2004-02-26 Sony Corp 半導体装置とその製造方法
JP2004111479A (ja) * 2002-09-13 2004-04-08 Toshiba Corp 半導体装置及びその製造方法
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
DE10261145A1 (de) * 2002-12-27 2004-07-22 Advanced Micro Devices, Inc., Sunnyvale Verbesserter Transistor mit abgesenktem Gate und ein Verfahren zur Herstellung desselben
US6825086B2 (en) 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
JP2004281964A (ja) * 2003-03-19 2004-10-07 Toshiba Corp 半導体集積回路装置とその製造方法
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
TWI242232B (en) * 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20050026342A1 (en) * 2003-07-28 2005-02-03 Ka-Hing Fung Semiconductor device having improved short channel effects, and method of forming thereof
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US6872626B1 (en) * 2003-11-21 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain and a transistor employing the same
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
GB2442995B (en) * 2004-05-28 2010-06-30 Advanced Micro Devices Inc Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
JP4102334B2 (ja) * 2004-06-16 2008-06-18 株式会社東芝 半導体装置及びその製造方法
KR100642747B1 (ko) * 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
DE102005041225B3 (de) 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
DE102005052054B4 (de) 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US7592213B2 (en) * 2005-12-29 2009-09-22 Intel Corporation Tensile strained NMOS transistor using group III-N source/drain regions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8470703B2 (en) 2010-06-09 2013-06-25 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
GB0812725D0 (en) 2008-08-20
CN101416297A (zh) 2009-04-22
US20070231983A1 (en) 2007-10-04
HK1131469A1 (en) 2010-01-22
DE112007000662T5 (de) 2009-04-30
US7851291B2 (en) 2010-12-14
US7566605B2 (en) 2009-07-28
JP2009529803A (ja) 2009-08-20
US20090230480A1 (en) 2009-09-17
GB2448258A (en) 2008-10-08
GB2448258B (en) 2011-08-17
CN101416297B (zh) 2013-10-23
KR101017477B1 (ko) 2011-02-25
WO2007126909A1 (en) 2007-11-08
JP5203350B2 (ja) 2013-06-05
DE112007000662B4 (de) 2010-09-23

Similar Documents

Publication Publication Date Title
KR101017477B1 (ko) 전계 효과 트랜지스터에서 접촉 저항 감소를 위한 애피택셜실리콘 게르마늄
US6881635B1 (en) Strained silicon NMOS devices with embedded source/drain
KR101605150B1 (ko) 스트레인 유도 합금 및 그레이드형 도펀트 프로파일을 포함하는 인 시츄 형성되는 드레인 및 소스 영역들
EP2036130B1 (en) N-channel mosfets comprising dual stressors, and methods for forming the same
US7893503B2 (en) Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain
US7767540B2 (en) Transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
US7572706B2 (en) Source/drain stressor and method therefor
US7892930B2 (en) Method to improve transistor tox using SI recessing with no additional masking steps
US20120080720A1 (en) Method of forming a semiconductor device and semiconductor device
US20070155063A1 (en) Tensile strained NMOS transistor using group III-N source/drain regions
KR20070061219A (ko) 임베디드 스트레서 구조 및 방법
US8338274B2 (en) Transistor device comprising an embedded semiconductor alloy having an asymmetric configuration
US7999326B2 (en) Tensile strain source using silicon/germanium in globally strained silicon
WO2006093990A9 (en) Activated carbon selective epitaxial process
JP2004134727A (ja) 分離されたパンチスルー防止膜を有する集積回路トランジスタ及びその形成方法
WO2008054678A1 (en) A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
WO2007130240A1 (en) A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
KR20070002643A (ko) 반도체소자의 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140203

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170201

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180201

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 10