DE102013100857A1 - Finnenstruktur für ein FinFET-Bauteil - Google Patents

Finnenstruktur für ein FinFET-Bauteil Download PDF

Info

Publication number
DE102013100857A1
DE102013100857A1 DE102013100857A DE102013100857A DE102013100857A1 DE 102013100857 A1 DE102013100857 A1 DE 102013100857A1 DE 102013100857 A DE102013100857 A DE 102013100857A DE 102013100857 A DE102013100857 A DE 102013100857A DE 102013100857 A1 DE102013100857 A1 DE 102013100857A1
Authority
DE
Germany
Prior art keywords
semiconductor material
fin
substrate
sti
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102013100857A
Other languages
English (en)
Other versions
DE102013100857B4 (de
Inventor
Georgios Vellianitis
Mark Van Dal
Blandine Duriez
Richard Kenneth Oxland
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013100857A1 publication Critical patent/DE102013100857A1/de
Application granted granted Critical
Publication of DE102013100857B4 publication Critical patent/DE102013100857B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Es wird eine Finnenstruktur für ein Finnen-Feldeffekt-Transistor(FinFET)-Bauteil zur Verfügung gestellt. Das Bauteil umfasst ein Substrat, ein erstes Halbleitermaterial, das auf dem Substrat angeordnet ist, einen flachen Grabenisolations-(STI)-Bereich, der auf dem Substrat angeordnet ist und der auf gegenüberliegenden Seiten des ersten Halbleitermaterials gebildet ist, und ein zweites Halbleitermaterial, das eine erste Finne und eine zweite Finne bildet und das auf dem STI-Bereich angeordnet ist, wobei die erste Finne um eine Breite des ersten Halbleitermaterials von der zweiten Finne beabstandet ist. Die Finnenstruktur kann verwendet werden, um ein FinFET-Bauteil zu erzeugen, indem eine Gateschicht über der ersten Finne, über einer oberen Fläche des ersten Halbleitermaterials, das zwischen der ersten und der zweiten Finne angeordnet ist, und über der zweiten Finne gebildet wird.

Description

  • HINTERGRUND
  • Halbleiterbauteile werden in vielen elektronischen Bauteilen verwendet, wie zum Beispiel in Computern, in Mobiltelefonen und dergleichen. Halbleiterbauteile umfassen integrierte Schaltkreise, die auf Halbleiterwafern ausgebildet werden, indem auf den Halbleiterwafern viele Arten dünner Materialschichten abgeschieden werden und indem die dünnen Materialschichten zur Ausbildung der integrierten Schaltkreise strukturiert werden. Integrierte Schaltkreise umfassen Feldeffekt-Transistoren (FETs), wie zum Beispiel Metalloxidhalbleiter-(MOS)-Transistoren.
  • Es ist ein Ziel der Halbleiterindustrie, die Größe einzelner FETs weiter zu verringern und ihre Geschwindigkeit weiter zu erhöhen. Um dieses Ziel zu erreichen, werden für fortgeschrittene Transistornoden bzw. -knoten, sogenannte FETs mit „Finnen“ (FinFETs), die auch als„Grat“ bezeichnet werden oder Mehrfach-Gate-Transistoren verwendet. Zum Beispiel verbessern FinFETs nicht nur die Flächendichte, sondern auch die Gatesteuerung eines Kanals.
  • In dem Bemühen, die Leistung von komplementären Metalloxidhalbleiter-(complementary metal-oxide-semiconductor, CMOS) und von MOSFET-Bauteilen zu erhöhen und ihren Energieverbrauch zu verringern, setzt die Halbleiterindustrie sogenannte „high mobility“ Halbleiter ein, um Silizium als Transistorkanal zu ersetzen. Die Halbleiterindustrie hat auch Substratisolationstechniken vorangetrieben bzw. weiterentwickelt, zum Beispiel durch Silizium-auf-Isolator-(sog. Silicon on insulator, SOI) und Heterostruktur-Bauteile, welche die Sperrkennlinie verbessern können.
  • KURZBESCHREIBUNG DER FIGUREN
  • Für ein vollständigeres Verständnis der vorliegenden Offenbarung und ihrer Vorteile, wird nun Bezug auf die folgende Beschreibung zusammen mit den beigefügten Zeichnungen genommen, in denen
  • 1a bis 1h eine Ausführungsform eines Prozesses zur Ausbildung einer Finnenstruktur für ein FinFET-Bauteil gemeinsam veranschaulichen;
  • 2 einen Schnitt einer Ausführungsform der Finnenstruktur zeigt, die mit dem Prozess aus 1 hergestellt wurde;
  • 3a bis 3i eine Ausführungsform eines Prozesses zur Ausbildung der Finnenstruktur aus 2 gemeinsam veranschaulichen;
  • 4a bis 4f eine Ausführungsform eines Prozesses zur Ausbildung der Finnenstruktur aus 2 gemeinsam veranschaulichen;
  • 5a bis 5g eine Ausführungsform eines Prozesses zur Bildung eines FinFET-Bauteils gemeinsam veranschaulichen, wobei einer der Prozesse verwendet wird, die in den 1a bis 1h, 3a bis 3i oder 4a bis 4f gemeinsam gezeigt sind; und
  • 6 eine Ausführungsform eines Verfahrens zur Ausbildung der Finnenstruktur aus 2 zeigt.
  • Falls nicht anders angegeben, beziehen sich einander entsprechende Ziffern und Symbole in unterschiedlichen Figuren grundsätzlich auf einander entsprechende Teile. Die Figuren veranschaulichen deutlich die relevanten Aspekte der Ausführungsformen und sind nicht notwendigerweise maßstabsgetreu.
  • AUSFÜHRLICHE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFORMEN
  • Die Herstellung und Verwendung von derzeit bevorzugten Ausführungsformen wird im Folgenden ausführlich erläutert. Es sollte jedoch berücksichtigt werden, dass die vorliegende Offenbarung viele anwendbare erfinderische Konzepte bereitstellt, die in einer breiten Vielfalt konkreter Zusammenhänge eingesetzt werden können. Die konkreten und erläuterten Ausführungsformen sind rein beispielhaft und beschränken nicht den Umfang der Offenbarung.
  • Die vorliegende Offenbarung wird in Bezug auf bevorzugte Ausführungsformen in einem konkreten Zusammenhang beschrieben, nämlich einem Metalloxidhalbleiter-(MOS)-FinFET. Die Erfindung kann jedoch auch auf andere integrierte Schaltkreise, elektronische Strukturen und dergleichen angewendet werden.
  • Die 1a bis 1h veranschaulichen gemeinsam eine Ausführungsform eines Prozesses zur Ausbildung einer Finnenstruktur 10, die in 2 abgebildet ist, für ein FinFET-Bauteil 12, das in 5g abgebildet ist. Wie im Folgenden näher erläutert wird, führt die Finnenstruktur 10 in dem FinFET-Bauteil 12 zu einem gesteigerten Transistor-Leistungsverhalten und zu einem verbesserten Energieverbrauch, da die Finnendichte verdoppelt und die Substratisolation verbessert ist. Tatsächlich bietet das FinFET-Bauteil 12 mit der Finnenstruktur 10 eine höhere Leistung, einen „Short-Channel-Effekt“ und eine wünschenswerte Verluststeuerung im Aus-Zustand. Zusätzlich ist in dem FinFET-Bauteil 12, das mit der vorliegend offenbarten Finnenstruktur 10 gebildet wurde, die gategesteuerte Fläche vergrößert und die Gatebreite verringert, ohne dass dazu die Grundfläche des Bauteils vergrößert werden muss.
  • In 1a ist gezeigt, dass ein flacher Grabenisolationsbereich 14 (sog. „shallow trench isolation“(STI)-Bereich) um ein Substrat 16 gebildet ist. In einer Ausführungsform besteht der STI-Bereich 14 aus Siliziumdioxid oder einem anderen geeigneten dielektrischen Material. In einer Ausführungsform ist das Substrat 16 Silizium oder ein anderes geeignetes Halbleitermaterial. Wie gezeigt ist, steht das Substrat 16 im Allgemeinen zwischen Abschnitten des STI-Bereiches 14 nach oben hervor. Zusätzlich sind eine obere Oberfläche 18 des Substrates 16 und eine obere Oberfläche 20 des STI-Bereiches bzw. der STI-Bereiche 14 im Allgemeinen koplanar.
  • Wie in 1b gezeigt ist, wird eine Einbuchtung 22 gebildet, indem ein oberer Abschnitt des Substrates 16 durch eine Ätzung entfernt wird. Wie in 1c gezeigt ist wird anschließend ein erstes Halbleitermaterial 24 (auch als Material A bezeichnet) in der Einbuchtung 22 gebildet. In einer Ausführungsform wird in der Einbuchtung 22 das erste Halbleitermaterial 24 epitaktisch wachsen gelassen. In einer Ausführungsform wird ein chemisch-mechanischer Einebnungsprozess (sog. chemical mechanical planarization (CMP)-Prozess) durchgeführt, nachdem die Einbuchtung 22 mit dem ersten Halbleitermaterial 24 gefüllt wurde. Dadurch werden eine obere Oberfläche 26 des ersten Halbleitermaterials 24 und die obere Oberfläche 20 der benachbarten STI-Bereiche 14 geglättet.
  • In einer Ausführungsform ist das erste Halbleitermaterial 24 Germanium (Ge), Indiumphosphid (InP), Indium Gallium Arsenid (InGaAs), Indiumarsenid (InAs), Galliumantimonid (GaSb) oder Siliziumgermanium (SiGe). In einer Ausführungsform ist das erste Halbleitermaterial 24 ein Gruppe IV-, ein Gruppe III-V- oder ein Gruppe II-VI-Halbleitermaterialmaterial. In einer Ausführungsform ist das erste Halbleitermaterial 24 eine Legierung aus SiGe, die eine Formel Si1-xGex hat (wobei 1 > x > 0).
  • Wie in 1d gezeigt ist, wird auf dem ersten Halbleitermaterial 24 eine Hartmaske 28 gebildet. In einer Ausführungsform besteht die Hartmaske aus Siliziumnitrid oder einem anderen geeigneten Maskenmaterial. Sobald die Hartmaske 28 abgeschieden wurde, wird ein photolithographischer Prozess durchgeführt, um der Hartmaske eine Struktur zu verleihen, wie in 1d gezeigt ist. Anschließend wird ein oberer Abschnitt des STI-Bereiches 14 selektiv in Bezug auf die Hartmaske geätzt, wie in 1e gezeigt ist. Wie in 1e dargestellt ist, liegen nun gegenüberliegende Seitenwände 30 eines oberen Abschnittes 32 des ersten Halbleitermaterials 24 frei.
  • Wie in 1f gezeigt ist, wird ein zweites Halbleitermaterial 34 (auch als Material B bezeichnet) auf und über den Seitenwänden 30 des ersten Halbleitermaterials 24 gebildet. In einer Ausführungsform wird das zweite Halbleitermaterial 34 entlang der Seitenwände 30 des ersten Halbleitermaterials 24 epitaktisch aufgezogen bzw. wachsen gelassen. Wie gezeigt ist, befindet sich das zweite Halbleitermaterial 34 auf dem STI-Bereich 14 und steht es über diesen hervor. Weil die Hartmaske 28 nicht entfernt wurde, ist das zweite Halbleitermaterial 34 nicht auf der oberen Oberfläche 26 des ersten Halbleitermaterials 24 gewachsen oder auf andere Weise auf dieser gebildet.
  • Sobald das zweite Halbleitermaterial 34 auf den Seitenwänden 30 des ersten Halbleitermaterials 24 angeordnet bzw. abgeschieden ist, kann die Hartmaske 28 entfernt werden, wie in 1g dargestellt ist. In einer Ausführungsform wird die Hartmaske 28 entfernt, ohne dass das benachbarte zweite Halbleitermaterial 34 und die STI-Bereiche 14 angegriffen werden. Anschließend wird ein selektiver Ätzprozess durchgeführt, um den oberen Abschnitt 32 (1e) des ersten Halbleitermaterials 24 zu entfernen, wie in 1h gezeigt ist. Wie in 1h gezeigt ist, bildet das zweite Halbleitermaterial 34, nachdem das erste Halbleitermaterial 24 mittels Ätzen entfernt wurde, eine erste Finne 36 (oder einem ersten „Grat“) und eine zweite Finne 38 (oder zweiten „Grat“) einer Gesamtfinnenstruktur 10.
  • Die erste und die zweite Finne 36, 38 sind im Wesentlichen auf dem STI-Bereich 14 angeordnet und befinden sich in direktem Kontakt mit diesem. Zwischen der ersten und der zweiten Finne 36, 38 ist eine Einbuchtung 40 angeordnet. Zusätzlich hat die erste Finne 36 in einer Ausführungsform von der zweiten Finne 38 einen Abstand, der einer Breite 42 des ersten Halbleitermaterials 24 entspricht. In 1h ist weiterhin gezeigt, dass die obere Fläche 26 des ersten Halbleitermaterials 24 und/oder die obere Fläche 20 des STI-Bereiches 14 im Allgemeinen koplanar zu einer unteren Fläche 44 der ersten und zweiten Finne 36, 38 sind. In einer Ausführungsform kann die obere Fläche 26 des ersten Halbleitermaterials 24 vertikal unter der oberen Fläche 20 des STI-Bereiches 14 angeordnet sein. Wie gezeigt ist, stehen die erste und die zweite Finne 36, 38 vertikal über die obere Fläche des ersten Halbleitermaterials 24 hervor. In einer Ausführungsform ist das erste Halbleitermaterial 24 dotiert, um ein Leiten durch das erste Halbleitermaterial 24 zu hemmen oder zu verhindern.
  • In einer Ausführungsform besteht das zweite Halbleitermaterial 34 aus Silizium (Si) und das erste Halbleitermaterial 24 aus Germanium (Ge). In einer derartigen Ausführungsform kann das Germanium durch Ätzen mit einer Salzsäure(HCl)-Lösung mit sehr hoher Selektivität entfernt werden. In einer Ausführungsform ist das zweite Halbleitermaterial 34 Indium Gallium Arsenid (InGaAs) und das erste Halbleitermaterial 24 Indiumphosphid (InP). In einer derartigen Ausführungsform kann das Indiumphosphid unter Verwendung einer Salzsäure-(HCl)-Lösung mit einer sehr hohen Selektivität durch Ätzen entfernt werden.
  • In einer Ausführungsform ist das zweite Halbleitermaterial 34 Indiumphosphid (InP) und das erste Halbleitermaterial 24 Indium Gallium Arsenid (InGaAs). In einer derartigen Ausführungsform kann das Indium Gallium Arsenid durch Ätzen mit einer Lösung aus Phosphorsäure und Wasserstoffperoxid (H3PO4 + H2O2) mit sehr hoher Selektivität entfernt werden. In einer Ausführungsform ist das zweite Halbleitermaterial 34 Galliumantimonid (GaSb) und das erste Halbleitermaterial 24 Indiumarsenid (InAs). In einer derartigen Ausführungsform kann das Indiumarsenid durch Ätzen mit einer Lösung aus Zitronensäure und Wasserstoffperoxid (C6H8O7 + H2O2) mit sehr hoher Selektivität entfernt werden.
  • In einer Ausführungsform ist das zweite Halbleitermaterial 34 Indiumarsenid (InAs) und das erste Halbleitermaterial 24 Galliumantimonid (GaSb). In einer derartigen Ausführungsform kann das Galliumantimonid durch Ätzen mit einer Ammoniumhydroxid(NH4OH)-Lösung mit sehr hoher Selektivität entfernt werden. In anderen Ausführungsformen sind andere Kombinationen und andere Ätzbestandteile möglich. In manchen Ausführungsformen nähert sich die Selektivität an 100 % an oder erreicht 100 %.
  • In einer Ausführungsform ist das zweite Halbleitermaterial 34 ein Gruppe IV-, ein Gruppe III-V- oder ein Gruppe II-VI-Halbleitermaterial. In einer Ausführungsform ist das zweite Halbleitermaterial 34 eine Legierung aus SiGe mit einer Formel Si1-yGey (wobei 1 > y > 0) und das erste Halbleitermaterial 24 eine Legierung aus SiGe mit einer Formel Si1-xGex (wobei 1 > x > 0), wobei x > y ist. In einer derartigen Ausführungsform kann die Legierung von SiGe mit einer Formel Si1-xGex durch Ätzen mit einer Salzsäure(HCl)-Lösung mit sehr hoher Selektivität entfernt werden.
  • Unter Bezugnahme auf 2, können in einer Ausführungsform die erste und die zweite Finne 36, 38 auf der Finnenstruktur 10 eine Höhe 46 aufweisen, die zwischen etwa 5 Nanometer (5 nm) und etwa 40 Nanometer (40 nm) beträgt. In einer Ausführungsform können die erste und die zweite Finne 36, 38 eine Breite 48 aufweisen, die zwischen etwa 2 Nanometer (2 nm) und etwa 10 Nanometer (10 nm) beträgt. In einer Ausführungsform können die erste und die zweite Finne 36, 38 einen Abstand 50 haben (der im Allgemeinen der Breite des oberen Abschnitts 42 des ersten Halbleitermaterials 24 gleicht, wie in 1h gezeigt ist), der zwischen etwa 5 Nanometer (5 nm) und etwa 20 Nanometer (20 nm) beträgt. In anderen Ausführungsformen können andere Dimensionen möglich sein.
  • Gemeinsam zeigen die 3a bis 3i eine andere Ausführungsform eines Prozesses zur Ausbildung einer Finnenstruktur für ein FinFET-Bauteil. Nachdem die Schritte der 3a bis 3c wie zuvor beschrieben ausgeführt wurden, wird das erste Halbleitermaterial 24 eingebuchtet und eine Hartmaskenschicht 52 ausgebildet, wie in 3d gezeigt ist. Wie in 3e gezeigt ist, wird anschließend ein CMP-Prozess ausgeführt, um die Hartmaske 28 zu erzeugen, die innerhalb des STI-Bereiches 14 eingebettet ist. Anschließend werden die Schritte der 3f bis 3i wie zuvor beschrieben durchgeführt.
  • Die 4a bis 4f veranschaulichen gemeinsam eine andere Ausführungsform eines Prozesses zur Ausbildung einer Finnenstruktur für ein FinFET-Bauteil. Wie in 4a gezeigt ist, wird auf dem Substrat 16 eine Deckschicht des ersten Halbleitermaterials 24 aufgezogen (wachsen gelassen) oder abgeschieden. Anschließend wird ein Abschnitt des ersten Halbleitermaterials 24 durch Ätzen entfernt und durch den STI-Bereich 14 ersetzt, wie in 4b gezeigt ist. In 4b wird auch das erste Halbleitermaterial 24 geätzt, um Platz für die Ausbildung der Hartmaske 28 auf diesem zur Verfügung zu stellen. Anschließend werden die Schritte der 4c bis 4f wie zuvor beschrieben ausgeführt.
  • Die 5a bis 5g zeigen gemeinsam eine Ausführungsform eines Prozesses zur Bildung des FinFET-Bauteils 12, wobei zum Erzeugen der Finnenstruktur 10 zum Beispiel ein Prozess verwendet wird, den die 1a bis 1h, 3a bis 3i oder 4a bis 4f jeweils gemeinsam darstellen. Wie in 5a gezeigt ist, wurde die Hartmaske 28 auf dem ersten Halbleitermaterial 24 ausgebildet, das von dem STI-Bereich 14 umgeben ist. Anschließend wird, wie in 5b gezeigt, ein oberer Abschnitt des STI-Bereiches 14 entfernt, um die Seitenwände 30 des ersten Halbleitermaterials 24. freizulegen. Es ist zu beachten, dass die Hartmaske 28 noch vorhanden ist. Anschließend wird, wie in 5c gezeigt ist, das zweite Halbleitermaterial 34 auf und über den Seitenwänden 30 und über dem STI-Bereich 14 epitaktisch aufgezogen bzw. wachsen gelassen.
  • Sobald das zweite Halbleitermaterial 34 gebildet wurde, werden die Hartmaske 28 und dann der obere Abschnitt des ersten Halbleitermaterials 24 (d.h. der Abschnitt des ersten Halbleitermaterials 24, der oberhalb des STI-Bereiches 14 angeordnet ist) entfernt, sodass die Finnenstruktur 10 zurückbleibt. Wie zuvor angemerkt wurde, wird das erste Halbleitermaterial 24 in Bezug auf das zweite Halbleitermaterial 34 selektiv entfernt. Wie in 5d gezeigt ist, bleiben aufgrund des Entfernens des oberen Abschnittes des ersten Halbleitermaterials 24 die erste und die zweite Finne 36, 38 zurück, die voneinander mit einem Abstand entfernt sind, welcher der Breite des ersten Halbleitermaterials 24 entspricht.. Die Finnen 36, 38 bestehen aus dem zweiten Halbleitermaterial 34.
  • Wie in 5e gezeigt ist, wird über einem Abschnitt des STI-Bereiches 14, über einem Abschnitt der ersten Finne 36, über einem Abschnitt der oberen Oberfläche 26 des ersten Halbleitermaterials 24, über einem Abschnitt der zweiten Finne 38 und auch über einem weiteren Abschnitt des STI-Bereiches 14 eine Gateschicht 54 gebildet. In den 5f bis 5g ist gezeigt, dass ein Zwischenbereich bzw. ein Spacer 56 und ein Source/Drain Kontakt 58 gebildet werden. Um die Darstellung zu vereinfachen, ist in 5g nur einer der Spacer 56 und nur einer der Source/Drain Kontakte 58 gezeigt. Der Fachmann erkennt jedoch, dass zusätzliche Spacer 56 und zusätzliche Source/Drain Kontakte 58 gebildet und in dem FinFET-Bauteil 12 enthalten sein können. In einer Ausführungsform wird der Source/Drain Kontakt 58 mit Hilfe eines epitaktischen Aufwachsprozesses gebildet.
  • Wie in 6 gezeigt ist, wird weiterhin ein Verfahren 60 zur Bildung eines FinFET-Bauteils zur Verfügung gestellt. In Block 62 wird ein erstes Halbleitermaterial 24 auf einem Substrat gebildet. In Block 64 wird ein STI-Bereich 14 über dem Substrat 16 und über einem unteren Abschnitt des ersten Halbleitermaterials 24 gebildet. In Block 66 wird ein zweites Halbleitermaterial entlang von Seitenwänden 30 eines oberen Abschnittes 32 des ersten Halbleitermaterials 24 epitaktisch aufgezogen. In Block 68 wird der obere Abschnitt des ersten Halbleitermaterials durch Ätzen selektiv entfernt, um eine erste Finne 36 und eine zweite Finne 38 auszubilden, die mit der Breite des ersten Halbleitermaterials 24 voneinander beabstandet sind.
  • Die vorliegende Erfindung umfasst weiterhin eine Finnenstruktur für ein Finnenfeldeffekt-Transistor(FinFET)-Bauteil. Das Bauteil umfasst ein Substrat, ein erstes Halbleitermaterial, das auf dem Substrat angeordnet ist, einen flachen Grabenisolationsbereich (shallow trench isolation, STI), der über dem Substrat angeordnet ist und der auf gegenüberliegenden Seiten des ersten Halbleitermaterials gebildet ist, und ein zweites Halbleitermaterial, das eine erste und eine zweite Finne bildet und das auf dem STI-Bereich angeordnet ist, wobei die erste Finne um eine Breite des ersten Halbleitermaterials von der zweiten Finne beabstandet ist.
  • Die vorliegende Erfindung umfasst weiterhin ein Feldeffekt-Transistor(FinFET)-Bauteil. Das Bauteil umfasst ein Substrat, ein erstes Halbleitermaterial, das auf dem Substrat angeordnet ist, einen flachen Grabenisolationsbereich (shallow trench isolation, STI), der über dem Substrat angeordnet ist und der auf gegenüberliegenden Seiten des ersten Halbleitermaterials gebildet ist, ein zweites Halbleitermaterial, das eine erste Finne und eine zweite Finne bildet und das auf dem STI-Bereich angeordnet ist, wobei die erste Finne um eine Breite des ersten Halbleitermaterials von der zweiten Finne beabstandet ist, und eine Gateschicht, die über der ersten Finne, über einer oberen Fläche des ersten Halbleitermaterials, welches zwischen der ersten und der zweiten Finne angeordnet ist, und über der zweiten Finne gebildet ist.
  • Die vorliegende Erfindung umfasst weiterhin ein Verfahren zur Bildung eines Finnen-Feldeffekt-Transistor-(FinFET)Bauteils. Das Verfahren umfasst das Ausbilden eines ersten Halbleitermaterials auf einem Substrat, das Ausbilden eines flachen Grabenisolationsbereichs (shallow trench isolation, STI) über dem Substrat und über einem unteren Abschnitt des ersten Halbleitermaterials, ein epitaktisches Wachsen eines zweiten Halbleitermaterials entlang von Seitenwänden eines oberen Abschnittes des ersten Halbleitermaterials und ein selektives Entfernen des oberen Abschnittes des ersten Halbleitermaterials durch Ätzen, um eine erste Finne und eine zweite Finne zu bilden, wobei die erste Finne um eine Breite des ersten Halbleitermaterials von der zweiten Finne beabstandet ist.
  • Auch wenn die Offenbarung beispielhafte Ausführungsformen zur Verfügung stellt, soll die Beschreibung nicht einschränkend ausgelegt werden. Verschiedenartige Abänderungen und Kombinationen der beispielhaften Ausführungsformen sowie andere Ausführungsformen sind für den Fachmann anhand der Beschreibung offensichtlich. Die beigefügten Ansprüche sollen daher solche Abänderungen oder Ausführungsformen umfassen.

Claims (9)

  1. Finnenstruktur (10) für ein Finnen-Feldeffekt-Transistor(FinFET)-Bauteil, die Folgendes umfasst: ein Substrat (16); ein erstes Halbleitermaterial (24), das auf dem Substrat (16) angeordnet ist; einen flachen Grabenisolations-(STI) bereich (14), der auf dem Substrat (16) angeordnet ist und der auf gegenüberliegenden Seiten des ersten Halbleitermaterials (24) gebildet ist; und ein zweites Halbleitermaterial (34), das eine erste Finne (36) und eine zweite Finne (38) bildet und das auf dem STI-Bereich (14) angeordnet ist, wobei die erste Finne (36) um eine Breite (42) des ersten Halbleitermaterials (24) von der zweiten Finne (36) beabstandet ist.
  2. Finnenstruktur (10) nach Anspruch 1, bei der das erste Halbleitermaterial (24) geeignet ist, in Bezug auf das zweite Halbleitermaterial (34) selektiv geätzt zu werden. Finnenstruktur (10) nach Anspruch 1 oder 2, bei der eine obere Fläche (26) des ersten Halbleitermaterials (24) im Wesentlichen koplanar zu einer unteren Fläche (44) der ersten Finne (36) und der zweiten Finne (38) ist.
  3. Finnenstruktur (10) nach einem der vorhergehenden Ansprüche, bei der das erste Halbleitermaterial (24) eine erste Legierung aus Silizium-Germanium (SiGe) und das zweite Halbleitermaterial (34) eine zweite Legierung aus SiGe ist.
  4. Finnenstruktur (10) nach einem der Ansprüche 1 bis 3, bei der das erste Halbleitermaterial (24) Germanium ist und in der das zweite Halbleitermaterial (34) Silizium ist.
  5. Feldeffekt-Transistor(FinFET)-Bauteil, das Folgendes umfasst: ein Substrat (16); ein erstes Halbleitermaterial (24), das auf dem Substrat (16) angeordnet ist; einen flachen Grabenisolations-(STI) bereich (14), der auf dem Substrat (16) angeordnet ist und der auf gegenüberliegenden Seiten des ersten Halbleitermaterials (24) gebildet ist; ein zweites Halbleitermaterial (34), das eine erste Finne (36) und eine zweite Finne (38) bildet und das auf dem STI-Bereich (14) angeordnet ist, wobei die erste Finne (36) um eine Breite (42) des ersten Halbleitermaterials (24) von der zweiten Finne (38) beabstandet ist; und eine Gateschicht (54), die über der ersten Finne (36), über einer oberen Fläche (36) des ersten Halbleitermaterials (24), das zwischen der ersten Finne (36) und der zweiten Finne (38) angeordnet ist, und über der zweiten Finne (38) gebildet ist.
  6. Bauteil nach Anspruch 6, bei dem die Gateschicht (54) nicht auf Seitenwänden (30) des ersten Halbleitermaterials (24) gebildet ist.
  7. Bauteil nach Anspruch 6 oder 7, bei dem das erste Halbleitermaterial (24) dotiert ist, um eine Leitung durch das erste Halbleitermaterial (24) zu hemmen.
  8. Verfahren zum Bilden eines Finnen-Feldeffekt-Transistor(FinFET)-Bauteils, das die folgenden Schritte umfasst: Ausbilden eines ersten Halbleitermaterials (24) auf einem Substrat (16); Ausbilden eines flachen Grabenisolations-(STI)-Bereiches (14) über dem Substrat (16) und über einem unteren Abschnitt des ersten Halbleitermaterials (24); epitaktisches Wachsen eines zweiten Halbleitermaterials (34) entlang von Seitenwänden (30) eines oberen Abschnittes (32) des ersten Halbleitermaterials (24); und selektives Entfernen des oberen Abschnittes (32) des ersten Halbleitermaterials (24) durch Ätzen, um eine erste Finne (36) und eine zweite Finne (38) auszubilden, wobei die erste Finne (36) um eine Breite (42) des ersten Halbleitermaterials (24) von der zweiten Finne (38) beabstandet ist.
  9. Verfahren nach Anspruch 9, das vor dem Schritt des epitaktischen Wachsens des zweiten Halbleitermaterials (34) weiterhin ein Ausbilden einer Hartmaske (28) auf einer oberen Fläche (26) des ersten Halbleitermaterials (24) umfasst.
DE102013100857.7A 2012-03-27 2013-01-29 Finnenstruktur für ein FinFET-Bauteil Active DE102013100857B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/431,727 US8987835B2 (en) 2012-03-27 2012-03-27 FinFET with a buried semiconductor material between two fins
US13/431,727 2012-03-27

Publications (2)

Publication Number Publication Date
DE102013100857A1 true DE102013100857A1 (de) 2013-10-02
DE102013100857B4 DE102013100857B4 (de) 2020-10-01

Family

ID=49154845

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013100857.7A Active DE102013100857B4 (de) 2012-03-27 2013-01-29 Finnenstruktur für ein FinFET-Bauteil

Country Status (5)

Country Link
US (4) US8987835B2 (de)
KR (2) KR20130109920A (de)
CN (1) CN103367440B (de)
DE (1) DE102013100857B4 (de)
TW (2) TWI594435B (de)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9293587B2 (en) 2013-07-23 2016-03-22 Globalfoundries Inc. Forming embedded source and drain regions to prevent bottom leakage in a dielectrically isolated fin field effect transistor (FinFET) device
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
US9224841B2 (en) 2014-01-23 2015-12-29 Globalfoundries Inc. Semiconductor fins on a trench isolation region in a bulk semiconductor substrate and a method of forming the semiconductor fins
US9508713B2 (en) * 2014-03-05 2016-11-29 International Business Machines Corporation Densely spaced fins for semiconductor fin field effect transistors
FR3023058B1 (fr) * 2014-06-30 2017-09-29 Commissariat Energie Atomique Procede de realisation d'un dispositif microelectronique
CN105355576B (zh) * 2014-08-19 2018-06-01 中国科学院微电子研究所 一种沟道替换工艺的监测方法
CN105448696B (zh) * 2014-08-26 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105448844B (zh) * 2014-08-26 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9601377B2 (en) * 2014-10-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET formation process and structure
US9553172B2 (en) * 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9583626B2 (en) * 2015-04-29 2017-02-28 International Business Machines Corporation Silicon germanium alloy fins with reduced defects
US10373977B2 (en) 2015-06-26 2019-08-06 Intel Corporation Transistor fin formation via cladding on sacrificial core
KR102352157B1 (ko) 2015-09-01 2022-01-17 삼성전자주식회사 집적회로 소자
US9595599B1 (en) * 2015-10-06 2017-03-14 International Business Machines Corporation Dielectric isolated SiGe fin on bulk substrate
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9455314B1 (en) 2016-02-05 2016-09-27 International Business Machines Corporation Y-FET with self-aligned punch-through-stop (PTS) doping
US9953883B2 (en) 2016-04-11 2018-04-24 Samsung Electronics Co., Ltd. Semiconductor device including a field effect transistor and method for manufacturing the same
US9704859B1 (en) * 2016-05-06 2017-07-11 International Business Machines Corporation Forming semiconductor fins with self-aligned patterning
US11107908B2 (en) 2016-07-01 2021-08-31 Intel Corporation Transistors with metal source and drain contacts including a Heusler alloy
EP3300117B1 (de) * 2016-09-22 2024-07-17 IMEC vzw Verfahren zur herstellung eines halbleiterbauelements mit kanal mit hohem aspektverhältnis
US10062577B1 (en) 2017-07-11 2018-08-28 United Microelectronics Corp. Method of fabricating III-V fin structures and semiconductor device with III-V fin structures
KR102466356B1 (ko) 2017-08-30 2022-11-15 삼성전자주식회사 반도체 소자 및 그 제조방법
CN111164761A (zh) * 2017-12-27 2020-05-15 英特尔公司 具有电介质材料之上的高密度沟道半导体的晶体管
US11049774B2 (en) * 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid source drain regions formed based on same Fin and methods forming same

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6664582B2 (en) * 2002-04-12 2003-12-16 International Business Machines Corporation Fin memory cell and method of fabrication
US7078299B2 (en) * 2003-09-03 2006-07-18 Advanced Micro Devices, Inc. Formation of finFET using a sidewall epitaxial layer
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
KR100578130B1 (ko) * 2003-10-14 2006-05-10 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법
WO2005122272A1 (ja) * 2004-06-08 2005-12-22 Nec Corporation 歪みシリコンチャネル層を有するmis型電界効果トランジスタ
JP4945072B2 (ja) * 2004-11-09 2012-06-06 株式会社東芝 半導体装置及びその製造方法
US7960791B2 (en) * 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7638381B2 (en) * 2005-10-07 2009-12-29 International Business Machines Corporation Methods for fabricating a semiconductor structure using a mandrel and semiconductor structures formed thereby
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
JP4490927B2 (ja) 2006-01-24 2010-06-30 株式会社東芝 半導体装置
JP5211471B2 (ja) * 2006-11-29 2013-06-12 富士通株式会社 化合物半導体装置及びその製造方法
US8017463B2 (en) * 2006-12-29 2011-09-13 Intel Corporation Expitaxial fabrication of fins for FinFET devices
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7879659B2 (en) * 2007-07-17 2011-02-01 Micron Technology, Inc. Methods of fabricating semiconductor devices including dual fin structures
US20090020792A1 (en) * 2007-07-18 2009-01-22 Rafael Rios Isolated tri-gate transistor fabricated on bulk substrate
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
FR2932788A1 (fr) * 2008-06-23 2009-12-25 Commissariat Energie Atomique Procede de fabrication d'un composant electromecanique mems / nems.
KR101002131B1 (ko) * 2008-07-29 2010-12-16 주식회사 동부하이텍 이미지센서 및 그 제조방법
US9008212B2 (en) * 2008-08-07 2015-04-14 Trex Enterprises Corp. High data rate millimeter wave radio
US8116121B2 (en) * 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
CN101853882B (zh) * 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
CN102104058B (zh) * 2009-12-16 2012-12-12 中国科学院微电子研究所 半导体材料鳍片
US8362572B2 (en) 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
US8395195B2 (en) * 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8361907B2 (en) * 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
JP2012235059A (ja) * 2011-05-09 2012-11-29 Toshiba Corp 半導体装置および半導体装置の製造方法
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
JP5713837B2 (ja) * 2011-08-10 2015-05-07 株式会社東芝 半導体装置の製造方法
US8604518B2 (en) * 2011-11-30 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Split-channel transistor and methods for forming the same
US8698199B2 (en) * 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9583398B2 (en) * 2012-06-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having FinFETS with different fin profiles
US8768271B1 (en) * 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8716156B1 (en) * 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US9076842B2 (en) * 2013-08-27 2015-07-07 Globalfoundries Inc. Fin pitch scaling and active layer isolation
US9373706B2 (en) * 2014-01-24 2016-06-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a semiconductor material on a fin, and related semiconductor devices
US9142418B1 (en) * 2014-05-19 2015-09-22 Globalfoundries Inc. Double/multiple fin structure for FinFET devices

Also Published As

Publication number Publication date
TWI540727B (zh) 2016-07-01
US10510853B2 (en) 2019-12-17
US8987835B2 (en) 2015-03-24
US10164031B2 (en) 2018-12-25
CN103367440B (zh) 2016-06-08
TWI594435B (zh) 2017-08-01
US20170069728A1 (en) 2017-03-09
TW201630190A (zh) 2016-08-16
US20190131413A1 (en) 2019-05-02
US9502541B2 (en) 2016-11-22
CN103367440A (zh) 2013-10-23
KR20130109920A (ko) 2013-10-08
KR101633225B1 (ko) 2016-06-23
TW201340321A (zh) 2013-10-01
DE102013100857B4 (de) 2020-10-01
US20150132920A1 (en) 2015-05-14
US20130256759A1 (en) 2013-10-03
KR20150091027A (ko) 2015-08-07

Similar Documents

Publication Publication Date Title
DE102013100857B4 (de) Finnenstruktur für ein FinFET-Bauteil
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE102015117142B4 (de) Kontakte für stark skalierte Transistoren und Verfahren zu ihrer Herstellung
DE102012025824B4 (de) FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102014119642B4 (de) Finfets mit einem source-/drainüberzug
DE102014201625B4 (de) Verfahren zum Bilden einer Vorrichtung (Fin-FET)
DE102012221824B4 (de) Verfahren zur Herstellung einer Multi-Gate-Transistoreinheit, Multi-Gate-Transistoreinheit und Schaltungsvorrichtung damit
DE102014109807B4 (de) Kanal-Verspannungssteuerung für nichtplanare Verbindungshalbleitervorrichtungen
DE102013101162B4 (de) Tunnel-Feldeffekttransistor, Halbleitervorrichtung und Verfahren
DE102010038742B4 (de) Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
DE102009046246B4 (de) Herstellverfahren und Halbleiterbauelement mit Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage global verformter Halbleiterbasisschichten
DE102006062862B4 (de) Verfahren zum Herstellen von Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden
DE102013104191A1 (de) FinFET mit gerundetem Source/Drain-Profile
DE102011004506A1 (de) Herstellungsverfahren für ein Halbleiterbauelement und Halbleiterbauelement als Stegtransistor, der auf einem strukturierten STI-Gebiet durch eine späte Stegätzung hergestellt ist
DE102015108690A1 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102012214077A1 (de) Integrierte Schaltungen mit abstehenden Source- und Drainbereichen und Verfahren zum Bilden integrierter Schaltungen
DE102011015404A1 (de) Verfahren zur Herstellung einer stegartigen Halbleiterbaustruktur
DE102013103470A1 (de) Struktur und Verfahren für einen Feldeffekttransistor
DE112008000571T5 (de) Bilden eines nicht-planaren Transistors mit einem Quantentopfkanal
DE102014200840B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur mit einem vertikalen Nanodraht
DE102015217038A1 (de) Herstellung von Nanodraht-Strukturen
DE112018006629T5 (de) Halbleiterbauelement, herstellungsverfahren davon und elektronische vorrichtung mit selbiger
DE112020005848T5 (de) Halbleiterbauelement, verfahren zum herstellen eines halbleiterbauelements und elektronische einrichtung mit diesem halbleiterbauelement
DE102014223953A1 (de) FinFET Halbleitervorrichtungen, die vertiefte Source-Drain-Gebiete auf einer unteren Halbleiterschicht umfassen und Verfahren zur Herstellung dieser
DE102017127154B4 (de) Finnenstrukturierung für halbleitervorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final