DE102015108690A1 - Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren - Google Patents

Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren Download PDF

Info

Publication number
DE102015108690A1
DE102015108690A1 DE102015108690.5A DE102015108690A DE102015108690A1 DE 102015108690 A1 DE102015108690 A1 DE 102015108690A1 DE 102015108690 A DE102015108690 A DE 102015108690A DE 102015108690 A1 DE102015108690 A1 DE 102015108690A1
Authority
DE
Germany
Prior art keywords
layer
stressor layer
stressor
source
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102015108690.5A
Other languages
English (en)
Other versions
DE102015108690B4 (de
Inventor
Chun-Hsiung Tsai
Kei-Wei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015108690A1 publication Critical patent/DE102015108690A1/de
Application granted granted Critical
Publication of DE102015108690B4 publication Critical patent/DE102015108690B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Halbleiter-FinFET-Vorrichtung umfasst eine Gratstruktur, die über einem Substrat angeordnet ist. Die Gratstruktur umfasst eine Kanalschicht. Die FinFET-Vorrichtung umfasst auch eine Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht umfasst, die einen Teil der Gratstruktur bedecken. Seitenwand-Isolierschichten sind über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet. Die FinFET-Vorrichtung umfasst eine Source und einen Drain, die jeweils eine Stressor-Schicht umfassen, die in einer Vertiefung angeordnet ist, die durch das Entfernen der Gratstruktur ausgebildet wird, die nicht von der Gate-Struktur bedeckt ist. Die Stressor-Schicht umfasst eine erste bis dritte Stressor-Schicht, die in dieser Reihenfolge ausgebildet werden. Bei der Source liegt eine Grenzfläche zwischen der ersten Stressor-Schicht und der Kanalschicht unter einer der Seitenwand-Isolierschichten, die näher an der Source oder der Gate-Elektrode liegt.

Description

  • VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht die Priorität der vorläufigen U.S.-Anmeldung Nr. 62/104060, eingereicht am 15. Januar 2015; der gesamte Inhalt dieser Anmeldung ist hiermit durch Bezugnahme aufgenommen.
  • TECHNISCHES GEBIET
  • Diese Offenbarung betrifft eine integrierte Halbleiterschaltung und insbesondere eine Halbleitervorrichtung, die eine Gratstruktur aufweist, und ihr Herstellungsverfahren.
  • HINTERGRUND
  • Während die Halbleiterbranche in Nanometertechnologie-Verfahrensknoten auf der Suche nach höherer Vorrichtungsdichte, höherer Leistungsfähigkeit und niedrigeren Kosten vorangeschritten ist, haben Herausforderungen sowohl bei Herstellungs- als auch Designproblemen zu der Entwicklung von dreidimensionalen Designs geführt, wie etwa Fin-Feldeffekttransistoren (FinFETs). FinFET-Vorrichtungen umfassen üblicherweise Halbleitergrate oder „Finnen” mit hohem Seitenverhältnis, in denen Kanal- und Source/Drain-Bereiche von Halbleitertransistoren ausgebildet werden. Ein Gate wird über und entlang der Seiten der Gratstruktur (z. B. sie umgebend) ausgebildet, wobei der Vorteil der vergrößerten Oberfläche der Kanal- und Source/Drain-Bereiche ausgenutzt wird, um schnellere, zuverlässigere und besser gesteuerte Halbleitertransistorvorrichtungen herzustellen. Bei einigen Vorrichtungen können gestreckte (engl. „strained”) Materialien in den Source/Drain-(S/D)-Abschnitten des FinFETs verwendet werden, die beispielsweise Silizium-Germanium (SiGe), Siliziumkarbid (SiC) und/oder Siliziumphosphid (SiP) verwenden, um die Trägerbeweglichkeit zu erhöhen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Offenbarung wird am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Einrichtungen nicht maßstabsgetreu gezeigt sind und nur der Beschreibung dienen. Tatsächlich können die Abmessungen der verschiedenen Einrichtungen zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
  • 1 ist ein beispielhaftes Diagramm eines Verfahrensflusses zur Herstellung einer Halbleiter-FET-Vorrichtung, die eine Gratstruktur aufweist (FinFET).
  • 210C zeigen beispielhafte Verfahren zur Herstellung der FinFET-Vorrichtung gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 11 und 12 zeigen beispielhafte Verfahren zur Herstellung der FinFET-Vorrichtung gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele vorsieht, um verschiedene Einrichtungen der Erfindung zu implementieren. Spezielle Ausführungsformen oder Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Abmessungen von Elementen sind beispielsweise nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Verfahrensbedingungen und/oder angestrebten Eigenschaften der Vorrichtung abhängen. Darüber hinaus kann das Ausbilden einer ersten Einrichtung über oder auf einer zweiten Einrichtung in der folgenden Beschreibung beispielsweise Ausführungsformen umfassen, in denen die erste und die zweite Einrichtung in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Einrichtungen zwischen der ersten Einrichtung und der zweiten Einrichtung ausgebildet sein können, so dass die erste und die zweite Einrichtung nicht in direktem Kontakt sein müssen. Verschiedene Einrichtungen können zur Einfachheit und Klarheit beliebig in verschiedenen Maßstäben gezeichnet sein.
  • Weiter können räumlich relative Begriffe, wie „unten”, „unter”, „unterer”, „über”, „oberer” und ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit einem oder mehreren anderen Elementen oder Einrichtungen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden. Zusätzlich kann der Begriff „hergestellt aus” entweder „umfasst” oder „besteht aus” bedeuten.
  • 1 ist ein beispielhaftes Flussdiagramm zur Herstellung einer Halbleiter-FET-Vorrichtung, die eine Gratstruktur aufweist (FinFET). Das Flussdiagramm zeigt nur einen relevanten Teil des gesamten Herstellungsverfahrens für eine FinFET-Vorrichtung. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach den Verfahren, die in 1 gezeigt sind, vorgesehen sein können und dass die unten beschriebenen Vorgänge für zusätzliche Ausführungsformen des Verfahrens ersetzt werden oder fehlen können. Die Reihenfolge der Vorgänge/Verfahren kann vertauschbar sein. Die allgemeinen Vorgänge zur Herstellung einer vertieften S/D-Struktur mit gestreckten Materialien (oder Stressoren) bei der Gratstruktur sind in dem U.S.-Patent Nr. 8,440,517 offenbart, dessen gesamter Inhalt hier durch Bezugnahme aufgenommen ist.
  • In S101 von 1 wird eine Gratstruktur über einem Substrat hergestellt, wie in 2 gezeigt ist. 2 ist eine beispielhafte Perspektivansicht der FinFET-Vorrichtung in einer der verschiedenen Stufen des Herstellungsverfahrens gemäß einer Ausführungsform.
  • Gratstrukturen 20 werden über einem Substrat 10 ausgebildet und ragen aus einer Isolierschicht 50 hervor. Um eine Gratstruktur herzustellen, wird eine Maskenschicht über dem Substrat 10 beispielsweise durch ein thermisches Oxidationsverfahren und/oder ein chemisches Gasphasenabscheidungsverfahren (CVD) ausgebildet. Das Substrat 10 ist beispielsweise ein p-Siliziumsubstrat mit einer Unreinheits-Konzentration im Bereich zwischen etwa 1,12 × 1015 cm–3 bis etwa 1,68 × 1015 cm–3. In anderen Ausführungsformen ist das Substrat 10 ein n-Siliziumsubstrat mit einer Unreinheits-Konzentration im Bereich zwischen etwa 0,905 × 1015 cm–3 und etwa 2,34 × 1015 cm–3. Die Maskenschicht umfasst in einigen Ausführungsformen beispielsweise eine Kontaktstellen-Oxid-(z. B. Siliziumoxid)-Schicht und eine Siliziumnitrid-Maskenschicht.
  • Alternativ kann das Substrat 10 einen anderen elementaren Halbleiter wie Germanium umfassen; einen Verbundhalbleiter, der IV-IV-Verbundhalbleiter wie SiC und SiGe umfasst, III-V-Verbundhalbleiter wie GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInP und/oder GaInAsP; oder Kombinationen daraus. In einer Ausführungsform besteht das Substrat 10 aus einer Siliziumschicht eines SOI-(Silizium-auf-Isolator)-Substrats. Wenn ein SOI-Substrat verwendet wird, kann die Gratstruktur aus der Siliziumschicht des SOI-Substrats hervorragen oder kann aus der Isolierschicht des SOI-Substrats hervorragen. In dem zweiten Fall wird die Siliziumschicht des SOI-Substrats verwendet, um die Gratstruktur auszubilden. Amorphe Substrate wie amorphes Si oder amorphes SiC oder Isoliermaterial wie Siliziumoxid können auch als das Substrat 10 verwendet werden. Das Substrat 10 kann verschiedene Bereiche umfassen, die geeignet mit Unreinheiten (z. B. vom p- oder n-Leitfähigkeitstyp) dotiert wurden.
  • Die Kontaktstellen-Oxidschicht kann ausgebildet werden, indem thermische Oxidation oder ein CVD-Verfahren verwendet wird. Die Siliziumnitrid-Maskenschicht kann durch physikalische Gasphasenabscheidung (PVD) ausgebildet werden, etwa ein Sputterverfahren, CVD, chemische Gasphasenabscheidung im Plasma (PECVD), chemische Gasphasenabscheidung bei Atmosphärendruck (APCVD), Niederdruck-CVD (LPCVD), CVD im hochdichten Plasma (HDPCVD), Atomlagenabscheidung (ALD) und/oder andere Verfahren.
  • Die Dicke der Kontaktstellen-Oxidschicht liegt in einigen Ausführungsformen im Bereich zwischen etwa 2 nm und etwa 15 nm und die Dicke der Siliziumnitrid-Maskenschicht liegt im Bereich zwischen etwa 2 nm und etwa 50 nm. Eine Maskenstruktur wird weiter über der Maskenschicht ausgebildet. Die Maskenstruktur ist beispielsweise eine Resist-Struktur, die durch lithographische Vorgänge ausgebildet wird.
  • Indem die Maskenstruktur als Ätzmaske verwendet wird, wird eine Hartmaskenstruktur der Kontaktstellen-Oxidschicht und der Siliziumnitrid-Maskenschicht ausgebildet. Die Breite der Hartmaskenstruktur liegt in einigen Ausführungsformen im Bereich zwischen etwa 5 nm und etwa 40 nm. In bestimmten Ausführungsformen liegt die Breite der Hartmaskenstruktur im Bereich zwischen etwa 7 nm und etwa 12 nm.
  • Indem die Hartmaskenstruktur als Ätzmaske verwendet wird, wird das Substrat 10 durch Grabenätzen unter Verwendung eines Trockenätzverfahrens und/oder eines Nassätzverfahrens in Gratstrukturen 20 strukturiert. Eine Höhe der Gratstruktur 20 liegt im Bereich zwischen etwa 20 nm und etwa 300 nm. In bestimmten Ausführungsformen liegt die Höhe im Bereich zwischen etwa 30 nm und etwa 60 nm. Wenn die Höhe der Gratstrukturen nicht einheitlich ist, kann die Höhe von dem Substrat von der Ebene gemessen werden, die der durchschnittlichen Höhe der Gratstrukturen entspricht. Die Breite der Gratstrukturen 20 liegt in einem Bereich zwischen etwa 7 nm und etwa 15 nm.
  • In dieser Ausführungsform wird ein Bulk-Siliziumwafer als Startmaterial verwendet und bildet das Substrat 10. In einigen Ausführungsformen können jedoch andere Arten von Substraten als das Substrat 10 verwendet werden. Ein Silizium-auf-Isolator-(SOI)-Wafer kann beispielsweise als ein Startmaterial verwendet werden und die Isolierschicht des SOI-Wafers bildet das Substrat 10 und die Siliziumschicht des SOI-Wafers wird für die Gratstruktur 20 verwendet.
  • Wie in 2 gezeigt ist, sind drei Gratstrukturen 20, die sich in die X-Richtung erstrecken, benachbart zu einander in der Y-Richtung angeordnet. Die Anzahl der Gratstrukturen ist jedoch nicht auf Drei beschränkt. Die Anzahl kann Eins, Zwei, Vier oder Fünf oder mehr betragen. Zusätzlich können eine oder mehrere Opfer-Gratstrukturen benachbart zu beiden Seiten der Gratstruktur 20 angeordnet sein, um die Strukturtreue bei dem Strukturierungsverfahren zu verbessern. Die Breite der Gratstruktur 20 liegt in einigen Ausführungsformen im Bereich zwischen etwa 5 nm und etwa 40 nm und kann in bestimmten Ausführungsformen zwischen etwa 7 nm und etwa 15 nm liegen. Die Höhe der Gratstruktur 20 liegt in einigen Ausführungsformen im Bereich zwischen etwa 100 nm und etwa 300 nm und kann in anderen Ausführungsformen im Bereich zwischen etwa 50 nm und etwa 100 nm liegen. Der Zwischenraum zwischen den Gratstrukturen 20 liegt in einigen Ausführungsformen im Bereich zwischen etwa 5 nm und etwa 80 nm und kann in anderen Ausführungsformen im Bereich zwischen etwa 7 nm und etwa 15 nm liegen. Ein Fachmann wird jedoch erkennen, dass die Abmessungen und Werte, die in der Beschreiben angegeben sind, nur Beispiele sind und geändert werden können, um anderen Größen von integrierten Schaltungen zu genügen.
  • In dieser Ausführungsform ist die FinFET-Vorrichtung ein n-FinFET.
  • Nach dem Ausbilden der Gratstrukturen wird eine Isolierschicht 50 über der Gratstruktur 20 ausgebildet.
  • Die Isolierschicht 50 wird beispielsweise aus Siliziumdioxid hergestellt, das durch LPCVD (chemische Gasphasenabscheidung bei Niederdruck), Plasma-CVD oder fließfähige CVD ausgebildet wird. Bei dem fließfähigen CVD werden fließfähige Dielektrika anstatt Siliziumoxid abgeschieden. Fließfähige Dielektrika können, wie ihr Name suggeriert, während des Abscheidens „fließen”, um Lücken oder Zwischenräume mit einem hohen Seitenverhältnis zu füllen. Üblicherweise werden verschiedene Chemikalien zu den Silizium-enthaltenden Vorprodukten hinzugefügt, um zu ermöglichen, dass der abgeschiedene Film fließt. In einigen Ausführungsformen werden Stickstoffhydrid-Bindungen hinzugefügt. Beispiele von fließfähigen dielektrischen Vorprodukten, insbesondere fließfähigen Siliziumoxid-Vorprodukten, umfassen ein Silikat, ein Siloxan, ein Methylsilsesquioxan (MSQ), ein Wasserstoff-Silsesquioxan (HSQ), ein MSQ/HSQ, ein Perhydrosilazan (TCPS), ein Perhydropolysilazan (PSZ), eine Tetraethylorthosilikat (TEOS) oder ein Silylamin wie Trisiliylamin (TSA). Diese fließfähigen Siliziumoxid-Materialien werden in einem Verfahren mit mehreren Vorgängen ausgebildet. Nachdem der fließfähige Film abgeschieden wurde, wird er ausgehärtet und dann ausgeheilt, um ein oder mehrere unerwünschte Elemente zu entfernen, um Siliziumoxid auszubilden. Wenn das oder die unerwünschten Elemente entfernt wurden, verdichtet sich der fließfähige Film und schrumpft. In einigen Ausführungsformen werden mehrere Ausheilverfahren ausgeführt. Der fließfähige Film wird mehr als einmal bei Temperaturen etwa im Bereich zwischen etwa 1000°C und etwa 1200°C und für eine große Zeitdauer wie 30 Stunden oder mehr in der Summe ausgehärtet und ausgeheilt. Die Isolierschicht 50 kann ausgebildet werden, indem SOG verwendet wird. SiO, SiON, SiOCN oder Fluor-dotiertes Silikatglas (FSG) können in einigen Ausführungsformen als die Isolierschicht 50 verwendet werden.
  • Nach dem Ausbilden der Isolierschicht 50 über der Gratstruktur 20 wird ein Planarisierungsvorgang ausgeführt, um einen Teil der Isolierschicht 50 und der Maskenschicht (der Kontaktstellen-Oxidschicht und der Siliziumnitrid-Maskenschicht) zu entfernen. Der Planarisierungsvorgang kann ein chemisch-mechanisches Polieren (CMP) und/oder ein Zurückätzverfahren umfassen. Dann wird die Isolierschicht 50 weiter entfernt, so dass ein oberer Abschnitt der Gratstruktur 20, die eine Kanalschicht 20A werden soll, freigelegt wird, wie in 2 gezeigt ist.
  • In bestimmten Ausführungsformen kann das teilweise Entfernen der Isolierschicht 50 mittels eines Nassätzverfahrens ausgeführt werden, beispielsweise indem das Substrat in Flusssäure (HF) getaucht wird. In einer weiteren Ausführungsform kann das teilweise Entfernen der Isolierschicht 50 mittels eines Trockenätzverfahrens ausgeführt werden. Es kann beispielsweise ein Trockenätzverfahren unter Verwendung von CHF3 oder BF3 als Ätzgase verwendet werden.
  • Nach dem Ausbilden der Isolierschicht 50 kann ein thermisches Verfahren, beispielsweise ein Ausheilverfahren, ausgeführt werden, um die Qualität der Isolierschicht 50 zu verbessern. In bestimmten Ausführungsformen wird das thermische Verfahren ausgeführt, indem beschleunigtes thermisches Ausheilen (RTA) bei einer Temperatur im Bereich zwischen etwa 900°C und etwa 1050°C für etwa 1,5 Sekunden bis etwa 10 Sekunden in einer Inertgas-Umgebung wie einer N2-, Ar- oder He-Umgebung verwendet wird.
  • In S103 von 1 wird eine Gate-Struktur 40 über Teilen der Gratstrukturen 20 ausgebildet, wie in 3 gezeigt ist. 3 ist eine beispielhafte Perspektivansicht der FinFET-Vorrichtung in einer der verschiedenen Stufen des Herstellungsverfahrens gemäß einer Ausführungsform. 4 ist eine beispielhafte Schnittansicht entlang der Linie a-a von 3.
  • Eine dielektrische Gateschicht 30 und eine Polysiliziumschicht werden über der Isolierschicht 50 und den freigelegten Gratstrukturen 20 ausgebildet und dann werden Strukturierungsvorgänge ausgeführt, um eine Gate-Struktur zu erhalten, die eine Gate-Elektrodenschicht 45 umfasst, die aus Polysilizium und einer dielektrischen Gateschicht 30 hergestellt ist. Das Strukturieren der Polysiliziumschicht wird mittels einer Hartmaske 60 ausgeführt, die in einigen Ausführungsformen eine Siliziumnitridschicht 62 und eine Oxidschicht 64 umfasst. In anderen Ausführungsformen kann die Schicht 62 aus Siliziumoxid und die Schicht 64 aus Siliziumnitrid bestehen. Die dielektrische Gateschicht 30 kann aus Siliziumoxid bestehen, das durch CVD, PCD, ALD, Elektronenstrahlverdampfung oder einem anderen geeigneten Verfahren ausgebildet wird. In einigen Ausführungsformen kann die dielektrische Gateschicht 30 Siliziumnitrid, Siliziumoxinitrid oder High-k-Dielektrika umfassen. High-k-Dielektrika umfassen Metalloxide. Beispiele von Metalloxiden, die für die High-k-Dielektrika verwendet werden, umfassen Oxide von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu und/oder Mischungen daraus. In einigen Ausführungsformen liegt eine Dicke der dielektrischen Gateschicht im Bereich zwischen etwa 1 nm und etwa 5 nm.
  • In einigen Ausführungsformen kann die Gate-Elektrodenschicht 45 eine einzelne Schicht oder eine Mehrschichtstruktur umfassen. Die Gate-Elektrodenschicht 45 kann aus dotiertem Polysilizium mit einer gleichmäßigen oder ungleichmäßigen Dotierung bestehen. In einigen alternativen Ausführungsformen kann die Gate-Elektrodenschicht 45 ein Metall wie Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlN, TaN, NiSi, CoSi, andere leitende Materialien mit einer Austrittsarbeit umfassen, die mit dem Substratmaterial vereinbar ist, oder Kombinationen daraus. Die Gate-Elektrodenschicht 45 kann mittels eines geeigneten Verfahrens wie ALD, CVD, PVD, Plattieren oder Kombinationen daraus ausgebildet werden. In der vorliegenden Ausführungsform liegt die Breite der Gate-Elektrodenschicht 45 im Bereich zwischen etwa 30 nm und etwa 60 nm. In einigen Ausführungsformen liegt eine Dicke der Gate-Elektrodenschicht im Bereich zwischen etwa 30 nm und etwa 50 nm.
  • In S105 von 1 werden die Gratstrukturen 20, die nicht durch die Gate-Struktur 40 bedeckt sind, heruntergeätzt, um einen vertieften Abschnitt 80 auszubilden, wie in 5 gezeigt ist. 5 ist eine beispielhafte Perspektivansicht der FinFET-Vorrichtung in einer der verschiedenen Stufen des Herstellungsverfahrens gemäß einer Ausführungsform. 6A ist eine beispielhafte Schnittansicht entlang der Linie b-b von 5, 6B ist eine beispielhafte Schnittansicht entlang der Linie c-c von 5, die eine der Gratstrukturen schneidet, und 6C ist eine beispielhafte Schnittansicht entlang der Linie d-d von 5 zwischen den Gratstrukturen.
  • Nachdem die Gate-Struktur 40 ausgebildet wurde, wie in 4 gezeigt ist, werden auch Seitenwand-Isolierschichten 70 an beiden Hauptseiten der Gate-Elektrodenschicht 45 ausgebildet. Die Seitenwand-Isolierschichten 70 können Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder ein anderes geeignetes Material umfassen. Die Seitenwand-Isolierschichten 70 können eine einzige Schicht oder eine Mehrschichtstruktur umfassen. Eine durchgängige Schicht aus einem Seitenwand-Isoliermaterial kann durch CVD, PVD, ALD oder eine andere geeignete Technik ausgebildet werden. Dann wird ein anisotropes Ätzen auf das Seitenwand-Isoliermaterial angewendet, um ein Paar von Seitenwand-Isolierschichten (Abstandhalter) 70 auf zwei Hauptseiten der Gate-Struktur auszubilden. Die Dicke T1 der Seitenwand-Isolierschichten 70 liegt in einigen Ausführungsformen im Bereich zwischen etwa 5 nm und etwa 15 nm.
  • Der Abschnitt der Gratstrukturen 20, der nicht durch die Gate-Struktur 40 bedeckt ist, wird heruntergeätzt, um einen vertieften Abschnitt 80 auszubilden, wie in 5 gezeigt ist. Die Gratstrukturen werden auf die Ebene des Substrats 10 geätzt, so dass die Isolierschicht zwischen den Gratstrukturen in dem Source/Drain-Bereich vollständig entfernt wird. Indem auf die Ebene des Substrats 10 heruntergeätzt wird, werden die Gratstrukturen 20 eine „vereinigte” Gratstruktur in dem Source/Drain-Bereich. In bestimmten Ausführungsformen wird unter Verwendung des Paars von Seitenwand-Isolierschichten 70 als Hartmasken ein seitliches (engl. „biased”) Ätzverfahren ausgeführt, um die obere Fläche der Gratstruktur 20 zu vertiefen, die ungeschützt oder freigelegt sind, um den vertieften Abschnitt 80 auszubilden.
  • Das Ätzen, um die Vertiefung 80 auszubilden, umfasst anisotropes Ätzen, gefolgt von isotropem Ätzen. Durch das anisotrope Ätzen werden die Gratstrukturen 20 hauptsächlich in der vertikalen Richtung (Z-Richtung) geätzt. Nach dem anisotropen Ätzen wird isotropes Ätzen ausgeführt, um die Gratstrukturen unter der Gate-Struktur 40 zu ätzen.
  • 7A7C zeigen beispielhafte Schnittansichten der FinFET-Vorrichtung nach dem isotropen Ätzen der Gratstruktur.
  • Indem die Ätzbedingungen (z. B. die Ätzzeit) angepasst werden, kann ein Ausmaß des Ätzens unter der Gate-Struktur 40 gesteuert werden und somit ein Abstand Px zwischen der Gate-Elektrodenschicht und der epitaktischen Source/Drain-Schicht gesteuert werden. Der Abstand Px zwischen der Gate-Elektrodenschicht und der epitaktischen Source/Drain-Schicht ist als ein Abstand von einer Linie definiert, die sich von einer Seitenwand der Gate-Elektrodenschicht zu einer Oberfläche der Gate-Struktur in der Vertiefung 80 erstreckt.
  • In 7A ist der Abstand Px positiv und größer als 0 und kleiner als 10 nm. In einigen Ausführungsformen liegt Px im Bereich zwischen etwa 1 nm und etwa 7 nm.
  • In 7B ist der Abstand Px im Wesentlichen 0 nm.
  • In 7C ist der Abstand Px negativ und größer als etwa –2 nm und kleiner als 0 nm. In einigen Ausführungsformen ist Px größer oder gleich etwa –1 nm und kleiner als 0 nm (–1 nm ≤ Px < 0 nm).
  • In einer Ausführungsform der vorliegenden Offenbarung werden die Ätzbedingungen in dem Ätzverfahren für die Vertiefung angepasst, um angestrebte Ätzprofile zu erhalten. Transform Coupled Plasma (TCP) mit Prozessgasen einschließlich CH4, CHF3, O2, HBr, He, Cl2, NF3 und/oder N2 wird mit wechselnder Leistung und/oder wechselnden Vorspannungsbedingungen verwendet. Das TCP-Ätzen umfasst anisotropes Ätzen gefolgt von isotropem Ätzen. Bei dem isotropen Ätzen wird die Vorspannung niedriger angesetzt als beim anisotropen Ätzen. Durch das isotrope Ätzen werden die Gratstrukturen horizontal unter der Gate-Struktur 40 geätzt.
  • In S107 von 1 wird eine Versetzungsstruktur in dem Substrat 10 ausgebildet.
  • Wie in 8 gezeigt ist, wird ein Pre-Amorphous Implantation-(PAI)-Vorgang ausgeführt. Der PAI-Vorgang implantiert das Substrat 10, wobei eine Gitterstruktur des Substrats 10 beschädigt wird und ein amorpher Bereich 90 ausgebildet wird. In der vorliegenden Ausführungsform wird der amorphisierte Bereich 90 in einem Source- und Drain-Bereich der FinFET-Vorrichtung 200 ausgebildet und dringt leicht unter die Gate-Struktur 40. Eine Tiefe des amorphisierten Bereichs 90 wird gemäß den Design-Spezifikationen bestimmt und kann zwischen etwa 10 nm und etwa 150 nm liegen. In der vorliegenden Ausführungsform beträgt die Tiefe des amorphisierten Bereichs 90 weniger als etwa 100 nm. Die Tiefe des amorphisierten Bereichs 90 kann durch die Dicke der Seitenwand-Isolierschichten 70 gesteuert werden, da die Seitenwand-Isolierschichten 70 dazu dienen, die Implantationsenergie von der Mitte der Gate-Struktur 40 wegzurichten; was für eine größere amorphisierte Tiefe sorgt. Zudem kann die Tiefe des amorphisierten Bereichs 90 durch die Implantationsenergie, die Implantations-Spezies und/oder eine Implantations-Dosierung gesteuert werden. In der vorliegenden Ausführungsform besteht die Implantations-Spezies (Ionen) aus Silizium (Si) und/oder Germanium (Ge). Alternativ kann die Implantations-Spezies aus Ar, Xe, BF2, As, In, anderen geeigneten Implantations-Spezies oder Kombinationen daraus bestehen. In der vorliegenden Ausführungsform wird Si oder Ge bei einer Implantationsenergie von etwa 20 KeV bis etwa 60 KeV und einer Dosierung im Bereich zwischen etwa 1 × 1014 Atome/cm2 bis etwa 2 × 1015 Atome/cm2 implantiert, abhängig von der Implantationstemperatur. Niedrigere Implantationstemperatur verbessert die Wirksamkeit der Implantationsamorphisierung.
  • Eine strukturierte Fotoresistschicht kann verwendet werden, um zu definieren, wo der amorphisierte Bereich 90 ausgebildet wird, und kann andere Bereiche der FinFET-Vorrichtung vor Implantationsschäden schützen. Die strukturierte Fotoresistschicht legt beispielsweise die Source/Drain-Bereiche frei, so dass die Source/Drain-Bereiche dem PAI-Vorgang ausgesetzt werden, während die Gate-Struktur 40 (und andere Abschnitte der FinFET-Vorrichtung) vor dem PAI-Vorgang geschützt wird. Alternativ wird eine strukturierte Hartmaskenschicht, etwa eine SiN- oder SiON-Schicht, verwendet, um den amorphisierten Bereich 90 zu definieren. Die strukturierte Fotoresistschicht oder die strukturierte Hartmaskenschicht kann Teil des vorliegenden Herstellungsverfahrens (z. B. der LLD- oder Source/Drain-Ausbildung) sei, wodurch die Kosten minimiert werden, da keine zusätzliche Fotoresistschicht oder Hartmaske für den PAI-Vorgang benötigt wird.
  • Wie in 9 gezeigt ist, wird ein Spannungsfilm 95 über der sich ergebenden Struktur abgeschieden. Der Spannungsfilm 95 kann durch chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), CVD im hochdichten Plasma (HDPCVD), Plattieren, andere geeignete Verfahren und/oder Kombinationen daraus ausgebildet werden. Der Spannungsfilm 95 kann ein Dielektrikum umfassen, etwa Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid, andere geeignete Materialien und/oder Kombinationen daraus. Der Belastungsfilm 95 wird verwendet, um Spannung in einem nachfolgenden Ausheilvorgang auszuüben, der den amorphisierten Bereich 90 rekristallisiert.
  • Immer noch mit Bezug auf 9 wird ein Ausheilvorgang auf die sich ergebende Struktur angewendet. Der Ausheilvorgang führt dazu, dass der amorphisierte Bereich 90 rekristallisiert wird, wodurch ein rekristallisierter Bereich 100 ausgebildet wird. Der Ausheilvorgang kann ein beschleunigtes thermisches Ausheil-(RTA)-Verfahren oder ein thermisches Millisekunden-Ausheil-(MSA)-Verfahren sein (beispielsweise ein thermischer Millisekunden-Laserausheilvorgang).
  • Der Ausheilvorgang kann ein weiträumiges Vorwärmen umfassen, das Bereichsende-(EOR)-Fehler minimiert oder sogar eliminiert. Das weiträumige Vorwärmen kann bei einer Temperatur von etwa 200°C bis etwa 700°C ausgeführt werden. Das weiträumige Vorwärmen kann für etwa 50 bis etwa 300 Sekunden ausgeführt werden. Der Ausheilvorgang kann bei einer Temperatur zwischen etwa 500°C und etwa 1400°C ausgeführt werden. Zudem kann, abhängig von der Art des Ausheilvorgangs und der verwendeten Temperatur, der Ausheilvorgang für etwa 1 Millisekunde bis etwa 5 Sekunden ausgeführt werden. In der vorliegenden Ausführungsform hat das weiträumige Vorwärmen eine Temperatur von etwa 550°C für etwa 180 Sekunden. Zudem ist in der vorliegenden Ausführungsform der Ausheilvorgang ein RTA-Verfahren, das eine Temperatur von mehr als etwa 1000°C verwendet, und wird für mehr als 1,5 Sekunden ausgeführt. In einigen Ausführungsformen ist der Ausheilvorgang ein MSA-Verfahren, das eine Temperatur bis zum Si-Schmelzpunkt von etwa 1400°C verwendet, und wird für wenige Millisekunden oder weniger ausgeführt, beispielsweise etwa 0,8 Millisekunden bis etwa 100 Millisekunden.
  • Während des Ausheilvorgangs werden, während der amorphisierte Bereich 90 rekristallisiert, Dislokationen 105 in dem rekristallisierten Bereich 100 ausgebildet. Die Dislokationen 105 werden in der <111>-Richtung des Si-Substrats 10 ausgebildet. Die <111>-Richtung hat einen Winkel von etwa 45 bis etwa 65 Grad, wobei der Winkel mit Bezug auf eine Achse gemessen wird, die parallel zu einer Oberfläche des Substrats 10 ist. In der vorliegenden Ausführungsform haben die Dislokationen 105 eine <111>-Richtung mit einem Winkel von etwa 55 Grad, wobei der Winkel mit Bezug auf eine Achse gemessen ist, die parallel zu der Oberfläche des Substrats 10 ist.
  • Die Dislokationen 105 beginnen ihre Ausbildung bei Abschnürungspunkten 106. Die Abschnürungspunkte 106 werden in dem rekristallisierten Bereich 100 bei einer Tiefe von etwa 10 bis etwa 150 nm ausgebildet, wobei die Tiefe von der unteren Fläche der Vertiefung 80 gemessen wird. Die Abschnürungspunkte 106 können so ausgebildet werden, dass sie nicht unter der Gate-Struktur 40 und unter der Gratstruktur 20 (der Kanalschicht 20A) angeordnet sind.
  • Nach dem Ausheilvorgang wird der Spannungsfilm 96 beispielsweise durch Nassätzen entfernt. Phosphorsäure oder Flusssäure kann bei dem Nassätzen verwendet werden. In einigen Ausführungsformen kann Trockenätzen verwendet werden.
  • Bei S109 von 1 werden eine Source und ein Drain durch epitaktisches Wachstum von geeigneten Materialien ausgebildet. Indem Materialien als eine Source und ein Drain verwendet werden, die sich von der Kanalschicht 20A unterscheiden, wird die Kanalschicht geeignet gestreckt (engl. „strained”), wodurch die Trägerbeweglichkeit in der Kanalschicht erhöht wird.
  • 10A10C zeigen beispielhafte Schnittansichten, nachdem die epitaktischen Schichten für die Source und den Drain ausgebildet wurden, die jeweils den Strukturen der 7A7C entsprechen.
  • Eine erste epitaktische Schicht 110 wird über der Unterseite der Vertiefung 80, d. h. des freigelegten Substrats 10, ausgebildet. Die erste epitaktische Schicht 110 dient als ein Kanal-Stressor zum Anwenden einer Zugspannung an die Kanalschicht 20A. Die erste epitaktische Schicht 110 umfasst in der vorliegenden Ausführungsform SiCP. Eine Kohlenstoffkonzentration in dem SiCP, die durch ein Röntgenstrahlenbeugungs-(XRD)-Verfahren bestimmt wird, liegt im Bereich zwischen etwa 1% und 5%. In einigen Ausführungsformen liegt die Kohlenstoffkonzentration im Bereich zwischen etwa 1,2% und etwa 4% und kann in anderen Ausführungsformen im Bereich zwischen etwa 2% und etwa 3% liegen. Ein Anteil von P (Phosphor) in dem SiCP liegt im Bereich zwischen etwa 1 × 1018 cm–3 und etwa 1 × 1020 cm–3. Die Dicke der ersten epitaktischen Schicht 110 liegt in dieser Ausführungsform im Bereich zwischen etwa 5 nm und etwa 20 nm und in anderen Ausführungsformen im Bereich zwischen etwa 5 nm und etwa 15 nm.
  • Da die erste epitaktische Schicht 110, die in direktem Kontakt mit der Gratstruktur 20 (der Kanalschicht 20A und der Wannenschicht 20B) liegt, wie in 10A10C gezeigt ist, Kohlenstoff enthält, kann der Kohlenstoff die Si- und P-Einlagerungsatome einfangen und Diffusion von Phosphor in der ersten epitaktischen SiCP-Schicht 110 in die Kanalschicht 20A unterdrücken, wodurch ein Kurzkanaleffekt unterdrückt wird. Der Widerstandswert der ersten epitaktischen Schicht liegt im Bereich zwischen etwa 0,8 und 1,2 mΩ·cm.
  • Im Allgemeinen wird, wenn sich der Abstand Px verringert, um die Wirkung des Kanal-Stressors zu verbessern, der Kurzkanaleffekt schlechter. Wenn die erste epitaktische SiCP-Schicht jedoch Kohlenstoff umfasst, um Diffusion von Phosphor zu unterdrücken, ist es möglich, den Abstand Px zu verringern, wie in 10A10C gezeigt ist.
  • In 10A ist der Abstand Px positiv und größer als 0 und kleiner als 10 nm. In einigen Ausführungsformen liegt Px im Bereich zwischen etwa 1 nm und etwa 7 nm. In 10A liegt die Grenzfläche zwischen der Kanalschicht 20 und der epitaktischen Source/Drain-Schicht direkt unter der Seitenwand-Isolierschicht.
  • In 10B ist der Abstand Px im Wesentlichen 0 nm. In 10B liegt die Grenzfläche zwischen der Kanalschicht 20 und den epitaktischen Source/Drain-Schichten direkt unter der Grenzfläche zwischen der Seitenwand-Isolierschicht und der Gate-Elektrodenschicht.
  • In 10C ist der Abstand Px negativ und größer als etwa –2 nm und kleiner als 0 nm. In einigen Ausführungsformen ist Px gleich etwa –1 nm oder mehr und kleiner als 0 nm (–1 nm ≤ Px < 0 nm). In 10C liegt die Grenzfläche zwischen der Kanalschicht 20 und den epitaktischen Source/Drain-Schichten direkt unter der Gate-Elektrodenschicht.
  • Der Abstand zwischen der Gate-Elektrodenschicht und einer epitaktischen Source/Drain-Schicht kann auf eine andere Weise definiert werden. Die Bedingung „Px > 0” entspricht beispielsweise einer Bedingung, bei der die Breite Wc der Kanalschicht 20A Folgendes erfüllt: „die Breite Wg der Gate-Elektrodenschicht” < Wc < Wg + 2 × „die Dicke T der Seitenwand-Isolierschicht.” Die Bedingung „Px = 0” entspricht einer Bedingung „Wc = Wg”. Die Bedingung „Px < 0” entspricht einer Bedingung „Wc < Wg”.
  • Nach dem Ausbilden der ersten epitaktischen Schicht 110 wird eine zweite epitaktische Schicht 120 über der ersten epitaktischen Schicht 110 ausgebildet. Die zweite epitaktische Schicht 120 dient als Haupt-Kanal-Stressor zum Anwenden von Zugspannung auf die Kanalschicht 20A. Die zweite epitaktische Schicht 120 umfasst in der vorliegenden Ausführungsform SiCP. Eine Kohlenstoffkonzentration in dem SiCP der zweiten epitaktischen Schicht 120, die durch XRD definiert ist, ist kleiner als die Kohlenstoffkonzentration der ersten epitaktischen SiCP-Schicht 110 und liegt im Bereich zwischen etwa 0,7% und 3%. In einigen Ausführungsformen liegt die Kohlenstoffkonzentration im Bereich zwischen etwa 1% und etwa 3% und kann in anderen Ausführungsformen im Bereich zwischen etwa 1,2% und etwa 2,5% liegen. Ein Anteil von Phosphor in der zweiten epitaktischen SiCP-Schicht ist höher als der Phosphoranteil der ersten epitaktischen SiCP-Schicht 110 und liegt im Bereich zwischen etwa 1 × 1020 cm–3 und etwa 2 × 1020 cm–3. Die Dicke der zweiten epitaktischen Schicht 120 liegt in dieser Ausführungsform im Bereich zwischen etwa 20 nm und etwa 40 nm oder in anderen Ausführungsformen im Bereich zwischen etwa 25 nm und etwa 35 nm. Der Widerstandswert der zweiten epitaktischen Schicht liegt im Bereich zwischen etwa 0,3 und 1,0 mΩ·cm.
  • Während des Ausbildens der ersten epitaktischen Schicht 110 und der zweiten epitaktischen Schicht 120 wächst die Dislokation 105, die in dem Substrat 10 ausgebildet ist, in die erste epitaktische Schicht 110 und die zweite epitaktische Schicht 120. Die Dislokation 105, die in der ersten epitaktischen Schicht 110 und der zweiten epitaktischen Schicht 120 ausgebildet ist, ist eine zusätzliche Quelle eines Stressors für die Kanalschicht 20A.
  • Nach dem Ausbilden der zweiten epitaktischen Schicht 120 kann eine dritte epitaktische Schicht 130 über der zweiten epitaktischen Schicht 120 ausgebildet werden. Die dritte epitaktische Schicht 130 dient auch als Kanal-Stressor zum Ausüben von Zugspannung auf die Kanalschicht 20A. Die dritte epitaktische Schicht 130 umfasst in der vorliegenden Ausführungsform SiP. Die SiP-Schicht kann pseudo-kubisches Si3P4 umfassen. Ein Anteil von Phosphor in der dritten epitaktischen SiP-Schicht 130 ist höher als der Phosphoranteil der zweiten epitaktischen SiCP-Schicht 120 und liegt in einigen Ausführungsformen im Bereich von etwa 1 × 1021 cm–3 und etwa 1 × 1022 cm–3 und in anderen Ausführungsformen im Bereich zwischen etwa 2 × 1021 cm–3 und etwa 5 × 1021 cm–3. Die Dicke der dritten epitaktischen Schicht 130 liegt in einigen Ausführungsformen im Bereich von etwa 1 nm und 25 nm und in anderen Ausführungsformen im Bereich zwischen etwa 2 nm und etwa 10 nm.
  • Die obere Fläche der dritten epitaktischen Schicht 130 kann etwa gleich groß sein wie die Höher der oberen Fläche der Gratstruktur unter der Gate-Struktur oder kann etwas höher angeordnet sein (etwa 1 nm bis etwa 5 nm) als die Gratstruktur unter der Gate-Struktur.
  • Indem die Mehrschicht-Stressorstruktur der ersten bis dritten epitaktischen Schicht verwendet wird, ist es möglich, einen Kurzkanaleffekt zu unterdrücken, um die Spannung zu unterdrücken, die auf die Kanalschicht ausgeübt wird.
  • In der obigen Ausführungsform ist die Anzahl der epitaktischen Schichten nur Drei. In einigen Ausführungsformen kann eine zusätzliche epitaktische Schicht über der dritten epitaktischen Schicht ausgebildet werden. Die zusätzliche epitaktische Schicht kann SiP umfassen, das Bor enthält. Ein Anteil von Bor in der zusätzlichen epitaktischen Schicht kann größer als der Bor-Anteil in der dritten epitaktischen Schicht sein.
  • Weiter kann eine vierte Schicht 140 über der dritten epitaktischen Schicht 130 ausgebildet werden. Die vierte Schicht 140 kann eine epitaktische SiP-Schicht umfassen. Die vierte Schicht 140 ist eine Opferschicht für das Ausbilden eines Silizids in der Source/dem Drain. Ein Anteil von Phosphor in der vierten SiP-Schicht 140 ist kleiner als der Phosphoranteil der dritten epitaktischen SiCP-Schicht 130 und liegt in einigen Ausführungsformen im Bereich zwischen etwa 1 × 1018 cm–3 und etwa 1 × 1020 cm–3.
  • In mindestens einer Ausführungsform werden die epitaktischen Schichten 110140 durch ein LPCVD-Verfahren oder ein Atomlagenabscheidungsverfahren epitaktisch aufgewachsen. Das LPCVD-Verfahren wird bei einer Temperatur zwischen etwa 400 und 800°C und unter einem Druck von etwa 1 bis 200 Torr mittels eines Silizium-Quellgases wie SiH4, Si2H6 oder Si3H8, eines Kohlenstoff-Quellgases wie CH4 oder SiH3CH und eines Phosphor-Quellgases wie PH3 ausgeführt.
  • In der obigen Ausführungsform werden bei dem Ätzen der Vertiefung die Gratstrukturen durch Trockenätzen geätzt. Anstatt des Trockenätzens kann Nassätzen ausgeführt werden.
  • Das Nassätzen kann ausgeführt werden, indem TMAH (Tetraethylammonium-Hydroxid) verwendet wird. Beim Nassätzen des Siliziums durch TMAH ist eine Ätzrate der (100)-Seite des Siliziums größer als eine Ätzrate der (111)-Seite des Siliziums. Somit hat, wenn das Substrat 10 ein (100)-Silizium-Kristallsubstrat ist und die Gratstrukturen durch TMAH geätzt werden, die Schnittansicht der Vertiefung 80 Profile mit schrägen Enden 82, wie in 11 gezeigt ist. Indem die Ätzbedingungen angepasst werden, kann der Ort des Endabschnitts 82 angepasst werden, wodurch der Abstand Px angepasst wird.
  • Wie in 12 gezeigt ist, werden die erste bis dritte epitaktische Schicht und die vierte Schicht in der Vertiefung 80 ausgebildet, die durch das TMAH-Nassätzen ausgebildet wurde. In einigen Ausführungsformen können Trockenätzen und Nassätzen kombiniert werden.
  • In den obigen Ausführungsformen werden mehrere Gratstrukturen bei dem Ätzen der Vertiefung „vereinigt”. Die Strukturen und Herstellungsvorgänge, wie sie oben beschrieben sind, können jedoch auf eine FinFET-Vorrichtung mit einer einzigen Gratstruktur oder einer FinFET-Vorrichtung angewendet werden, die mehrere Grate ohne eine „vereinigte” Source/Drain-Struktur aufweist. Bei der FinFET-Vorrichtung, die mehrere Grate ohne „vereinigte” Source/Drain-Struktur aufweist, kann das Ätzen der Vertiefung auf die Ebene des Substrats ausgeführt werden oder kann stoppen, bevor es das Substrat erreicht.
  • Es versteht sich, dass die FinFET-Vorrichtung weiteren CMOS-Verfahren unterzogen werden kann, um verschiedene Einrichtungen wie Kontakte/Durchkontaktierungen, Metall-Verbindungsschichten, dielektrische Schichten, Passivierungsschichten etc. auszubilden. Die geänderte Isolier- und gestreckte Struktur übt ein vorgegebenes Ausmaß an Spannung auf die Kanalschicht 20A des FinFETs aus, wodurch die Leistungsfähigkeit der Vorrichtung verbessert wird.
  • Die verschiedenen Ausführungsformen oder Beispiele, die hier beschrieben sind, bieten einige Vorteile, verglichen mit dem Stand der Technik. Indem beispielsweise der Abstand zwischen der Gate-Elektrodenschicht und einer epitaktischen Source/Drain-Schicht (der ersten epitaktischen Schicht) verringert wird, während Kohlenstoff in der ersten epitaktischen Schicht vorgesehen ist, ist es möglich, einen Kurzkanaleffekt zu unterdrücken, der durch Diffusion von Phosphor in die Kanalschicht hervorgerufen wird, und die Spannung zu erhöhen, die auf die Kanalschicht ausgeübt wird.
  • Es versteht sich, dass nicht alle Vorteile hier beschrieben wurden; kein bestimmter Vorteil ist für alle Ausführungsformen oder Beispiele notwendig und andere Ausführungsformen oder Beispiele können andere Vorteile bieten.
  • In Übereinstimmung mit einem Aspekt der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung einen FinFET. Der FinFET umfasst eine Gratstruktur, die über einem Substrat angeordnet ist. Die Gratstruktur umfasst eine Kanalschicht und erstreckt sich in eine erste Richtung. Der FinFET umfasst auch eine Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht umfasst, die einen Teil der Gratstruktur bedeckt und sich in eine zweite Richtung erstreckt, die rechtwinklig zu der ersten Richtung ist. Die Gate-Struktur umfasst weiter Seitenwand-Isolierschichten, die über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet sind. Der FinFET umfasst weiter eine Source und einen Drain, die jeweils eine Stressor-Schicht umfassen, die in einer Vertiefung angeordnet sind, die nicht durch die Gate-Struktur bedeckt ist. Die Stressor-Schicht umfasst eine erste Stressor-Schicht, eine zweite Stressor-Schicht, die über der ersten Stressor-Schicht liegt, und eine dritte Stressor-Schicht, die über der zweiten Stressor-Schicht liegt. In der Source liegt eine Grenzfläche zwischen der ersten Stressor-Schicht und der Kanalschicht unter einer der Seitenwand-Isolierschichten, die näher an der Source oder der Gate-Elektrode liegt.
  • In Übereinstimmung mit einem weiteren Aspekt der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung einen FinFET. Der FinFET umfasst eine Gratstruktur, die über einem Substrat angeordnet ist. Die Gratstruktur umfasst eine Kanalschicht und erstreckt sich in eine erste Richtung. Der FinFET umfasst auch eine Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht umfasst, die einen Teil der Gratstruktur bedeckt und sich in eine zweite Richtung erstreckt, die rechtwinklig zu der ersten Richtung ist. Die Gate-Struktur umfasst weiter Seitenwand-Isolierschichten, die über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet sind. Der FinFET umfasst weiter eine Source und einen Drain, die jeweils eine Stressor-Schicht umfassen, die in einer Vertiefung angeordnet sind, die nicht von der Gate-Struktur bedeckt ist. Die Stressor-Schicht umfasst eine erste Stressor-Schicht, eine zweite Stressor-Schicht, die über der ersten Stressor-Schicht liegt, und eine dritte Stressor-Schicht, die über der zweiten Stressor-Schicht liegt. In der Source liegt eine Grenzfläche zwischen der ersten Stressor-Schicht und der Kanalschicht unter einer der Seitenwand-Isolierschichten, die näher an der Source oder der Gate-Elektrode liegt. Eine Breite der Kanalschicht entlang der ersten Richtung ist kleiner als eine Summe einer Breite der Gate-Elektrode und Breiten der Seitenwand-Isolierschichten entlang der ersten Richtung.
  • In Übereinstimmung mit einem weiteren Aspekt der vorliegenden Offenbarung umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung das Ausbilden einer Gratstruktur über einem Substrat. Die Gratstruktur umfasst eine Kanalschicht, die von einer Isolierschicht freigelegt ist, und erstreckt sich in eine erste Richtung. Eine Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht umfasst, wird über einem Teil der Gratstruktur ausgebildet. Die Gate-Struktur erstreckt sich in eine zweite Richtung, die rechtwinklig zu der ersten Richtung ist. Die Gate-Struktur umfasst weiter eine Seitenwand-Isolierschicht, die über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet ist. Eine Vertiefung wird ausgebildet, indem ein Teil der Gratstruktur entfernt wird, der nicht von der Gate-Struktur bedeckt ist. Eine Source und ein Drain werden in der Vertiefung ausgebildet, die jeweils eine Stressor-Schicht umfassen. Die Stressor-Schicht umfasst eine erste Stressor-Schicht, eine zweite Stressor-Schicht, die über der ersten Stressor-Schicht ausgebildet ist, und eine dritte Stressor-Schicht, die über der zweiten Stressor-Schicht ausgebildet ist. Die Vertiefung wird so ausgebildet, dass in der Source eine Grenzfläche zwischen der ersten Stressor-Schicht und der Kanalschicht unter einer der Seitenwand-Isolierschichten liegt, die näher an der Source oder der Gate-Elektrode liegt.
  • Das Vorangegangene beschreibt Merkmale von mehreren Ausführungsformen oder Beispielen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 8440517 [0010]

Claims (20)

  1. Halbleitervorrichtung, die Folgendes umfasst: einen FinFET, der Folgendes umfasst: eine Gratstruktur, die über einem Substrat angeordnet ist, wobei die Gratstruktur eine Kanalschicht umfasst und sich in eine erste Richtung erstreckt; eine Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht umfasst, die einen Teil der Gratstruktur bedeckt und sich in eine zweite Richtung erstreckt, die rechtwinklig zu der ersten Richtung ist, wobei die Gate-Struktur weiter Seitenwand-Isolierschichten umfasst, die über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet sind; und eine Source und einen Drain, die jeweils Stressor-Schichten umfassen, die in einer Vertiefung in der Gratstruktur angeordnet sind, die nicht von der Gate-Struktur bedeckt ist, wobei: die Stressor-Schicht eine erste Stressor-Schicht, eine zweite Stressor-Schicht, die über der ersten Stressor-Schicht liegt, und eine dritte Stressor-Schicht, die über der zweiten Stressor-Schicht liegt, umfasst, und in der Source eine Grenzfläche zwischen der ersten Stressor-Schicht und der Kanalschicht unter einer der Seitenwand-Isolierschichten angeordnet ist, die näher an der Source oder der Gate-Elektrode liegt.
  2. Halbleitervorrichtung nach Anspruch 1, wobei: die erste Stressor-Schicht SiCP umfasst, die zweite Stressor-Schicht SiCP umfasst, und eine Konzentration von Kohlenstoff in der ersten Stressor-Schicht größer als eine Konzentration von Kohlenstoff in der zweiten Stressor-Schicht ist.
  3. Halbleitervorrichtung nach Anspruch 1 oder zwei, wobei ein Anteil von Phosphor in der zweiten Stressor-Schicht größer als ein Anteil von Phosphor in der ersten Stressor-Schicht ist.
  4. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei: die dritte Stressor-Schicht SiP umfasst, und ein Anteil von Phosphor in der dritten Stressor-Schicht größer als ein Anteil von Phosphor in der zweiten Stressor-Schicht ist.
  5. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei: die Gratstruktur mehrere Grate unter der Gate-Struktur umfasst, und eine Source und ein Drain für die mehrere Grate gemeinsam vorgesehen sind.
  6. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei die Source und der Drain eine Struktur mit vereinigten Graten aufweist.
  7. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, die weiter eine Dislokation umfasst, die sich von dem Substrat zu der Stressor-Schicht erstreckt.
  8. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei der FinFET ein n-FinFET ist.
  9. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei die Grenzfläche als ein Punkt definiert ist, an dem die Stressor-Schicht am nächsten an der Kanalschicht liegt.
  10. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei bei der Source die Grenzfläche direkt unter der einen der Seitenwand-Isolierschichten liegt, die näher bei der Source liegt.
  11. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei in der Source die Grenzfläche auf einer Ebene liegt, die sich von einer Grenzfläche der Gate-Elektrodenschicht und der einen der Seitenwand-Isolierschichten erstreckt, die näher bei der Source liegt.
  12. Halbleitervorrichtung nach einem der vorangegangenen Ansprüche, wobei in der Source: die Grenzfläche direkt unter der Gate-Elektrodenschicht liegt, und ein Abstand zwischen der Grenzfläche und einer Ebene, die sich von einer Grenzfläche der Gate-Elektrodenschicht und der einen der Seitenwand-Isolierschichten erstreckt, die näher bei der Source liegt, kleiner oder gleich 1 nm ist.
  13. Halbleitervorrichtung, die Folgendes umfasst: einen FinFET, der Folgendes umfasst: eine Gratstruktur, die über einem Substrat angeordnet ist, wobei die Gratstruktur eine Kanalschicht umfasst und sich in einer ersten Richtung erstreckt; eine Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht umfasst, die einen Abschnitt der Gratstruktur bedeckt und sich in eine zweite Richtung erstreckt, die rechtwinklig zu der ersten Richtung ist, wobei die Gate-Struktur weiter Seitenwand-Isolierschichten umfasst, die über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet sind; und eine Source und einen Drain, die jeweils eine Stressor-Schicht umfassen, die in einer Vertiefung in der Gratstruktur angeordnet ist, die nicht von der Gate-Struktur bedeckt ist, wobei: die Stressor-Schicht eine erste Stressor-Schicht, eine zweite Stressor-Schicht, die über der ersten Stressor-Schicht liegt, und eine dritte Stressor-Schicht umfasst, die über der zweiten Stressor-Schicht liegt, und die Breite der Kanalschicht entlang der ersten Richtung kleiner als eine Summe der Breite der Gate-Elektrode und der Breiten der Seitenwand-Isolierschichten entlang der ersten Richtung ist.
  14. Halbleitervorrichtung nach Anspruch 13, wobei die Breite der Kanalschicht eine minimale Breite unter der Gate-Struktur ist.
  15. Verfahren zur Herstellung einer Halbleitervorrichtung, das Folgendes umfasst: Ausbilden einer Gratstruktur über einem Substrat, wobei die Gratstruktur eine Kanalschicht umfasst, die von einer Isolierschicht freigelegt wird und sich in eine erste Richtung erstreckt; Ausbilden einer Gate-Struktur, die eine Gate-Elektrodenschicht und eine dielektrische Gateschicht über einem Teil der Gratstruktur umfasst, wobei die Gratstruktur sich in eine zweite Richtung erstreckt, die rechtwinklig zu der ersten Richtung ist, wobei die Gate-Struktur weiter Seitenwand-Isolierschichten umfasst, die über beiden Hauptseiten der Gate-Elektrodenschicht angeordnet werden; Ausbilden einer Vertiefung durch Entfernen eines Teils der Gratstruktur, die nicht von der Gate-Struktur bedeckt ist; Ausbilden einer Source und eines Drains in der Vertiefung, die jeweils eine Stressor-Schicht umfassen, wobei: die Stressor-Schicht eine erste Stressor-Schicht, eine zweite Stressor-Schicht, die über der ersten Stressor-Schicht ausgebildet wird, und eine dritte Stressor-Schicht umfasst, die über der zweiten Stressor-Schicht ausgebildet wird, und die Vertiefung so ausgebildet wird, dass in der Source eine Grenzfläche zwischen der ersten Stressor-Schicht und der Kanalschicht unter einer der Seitenwand-Isolierschichten liegt, die näher an der Source oder der Gate-Elektrode liegt.
  16. Verfahren nach Anspruch 15, das nach dem Ausbilden der Vertiefung weiter Folgendes umfasst: Implantieren von Ionen in eine Unterseite der Vertiefung; Ausbilden einer Stressor-Schicht über der Unterseite der Vertiefung, wo die Ionen implantiert werden; und Ausheilen des Substrats mit der Stressor-Schicht, um eine Dislokation auszubilden.
  17. Verfahren nach Anspruch 15 oder 16, wobei: die Gratstruktur mehrere Grate unter der Gate-Struktur umfasst, und beim Ausbilden der Vertiefung der Teil der Gratstruktur, der nicht von der Gate-Struktur bedeckt ist, auf eine Ebene des Substrats heruntergeätzt wird, so dass keine Isolierschicht zwischen den mehreren Graten verbleibt.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei: die erste Stressor-Schicht epitaktisch ausgebildetes SiCP umfasst, die zweite Stressor-Schicht epitaktisch ausgebildetes SiCP umfasst, und eine Konzentration von Kohlenstoff in der ersten Stressor-Schicht größer als eine Konzentration von Kohlenstoff in der zweiten Stressor-Schicht ist.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei: die dritte Stressor-Schicht epitaktisch ausgebildetes SiP umfasst, ein Anteil von Phosphor in der zweiten Stressor-Schicht größer als ein Anteil von Phosphor in der ersten Stressor-Schicht ist, und ein Anteil von Phosphor in der dritten Stressor-Schicht größer als ein Anteil von Phosphor in der zweiten Stressor-Schicht ist.
  20. Verfahren nach einem der Ansprüche 15 bis 19, das weiter das Ausbilden einer vierten Schicht über der dritten Stressor-Schicht umfasst, wobei: die vierte Schicht SiP umfasst, und ein Anteil von Phosphor in der vierten Schicht kleiner als der Anteil von Phosphor in der dritten Stressor-Schicht ist.
DE102015108690.5A 2015-01-15 2015-06-02 Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren Active DE102015108690B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562104060P 2015-01-15 2015-01-15
US62/104,060 2015-01-15
US14/714,242 US9991384B2 (en) 2015-01-15 2015-05-15 Semiconductor device including fin structures and manufacturing method thereof
US14/714,242 2015-05-15

Publications (2)

Publication Number Publication Date
DE102015108690A1 true DE102015108690A1 (de) 2016-07-21
DE102015108690B4 DE102015108690B4 (de) 2021-06-17

Family

ID=56293142

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015108690.5A Active DE102015108690B4 (de) 2015-01-15 2015-06-02 Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren

Country Status (5)

Country Link
US (3) US9991384B2 (de)
KR (2) KR20160088213A (de)
CN (1) CN105810736B (de)
DE (1) DE102015108690B4 (de)
TW (1) TWI591823B (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647122B2 (en) * 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9680019B1 (en) * 2016-07-20 2017-06-13 Globalfoundries Inc. Fin-type field-effect transistors with strained channels
CN107785313B (zh) * 2016-08-26 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US10020398B1 (en) * 2017-01-11 2018-07-10 International Business Machines Corporation Stress induction in 3D device channel using elastic relaxation of high stress material
CN109148296B (zh) * 2017-06-15 2021-05-04 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
KR102385567B1 (ko) 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
DE102018122654A1 (de) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Finnenfeldeffekttransistorvorrichtung und verfahren zum bilden derselben
US10504782B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
KR102543178B1 (ko) * 2018-03-23 2023-06-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법
KR102403737B1 (ko) 2018-05-23 2022-05-31 삼성전자주식회사 집적회로 장치 및 그 제조 방법
CN110634820B (zh) * 2018-06-22 2021-10-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11296225B2 (en) * 2018-06-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10867861B2 (en) * 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11075269B2 (en) 2018-11-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11211491B2 (en) * 2019-07-24 2021-12-28 Nanya Technology Corporation Semiconductor memory structure having drain stressor, source stressor and buried gate and method of manufacturing the same
US11502197B2 (en) 2019-10-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxial layers

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004031385B4 (de) * 2004-06-29 2010-12-09 Qimonda Ag Verfahren zur Herstellung von Stegfeldeffekttransistoren in einer DRAM-Speicherzellenanordnung, Feldeffekttransistoren mit gekrümmtem Kanal und DRAM-Speicherzellenanordnung
JP2006128494A (ja) * 2004-10-29 2006-05-18 Toshiba Corp 半導体集積回路装置及びその製造方法
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
CN101925986B (zh) * 2008-01-25 2012-09-05 富士通半导体股份有限公司 半导体器件及其制造方法
US8779477B2 (en) * 2008-08-14 2014-07-15 Intel Corporation Enhanced dislocation stress transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8258572B2 (en) * 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8338259B2 (en) * 2010-03-30 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a buried stressor
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
CN102468164B (zh) * 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
US8629046B2 (en) * 2011-07-06 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a dislocation structure and method of forming the same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8884341B2 (en) * 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8754477B2 (en) 2011-10-20 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multiple stress structures and method of forming the same
US8809918B2 (en) * 2011-10-24 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US8674453B2 (en) * 2011-12-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming stressor regions in a semiconductor device
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) * 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9105654B2 (en) * 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
KR101986534B1 (ko) * 2012-06-04 2019-06-07 삼성전자주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9142643B2 (en) * 2012-11-15 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial feature
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8765533B2 (en) * 2012-12-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) channel profile engineering method and associated device
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8906789B2 (en) * 2013-03-13 2014-12-09 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric cyclic desposition etch epitaxy
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9299837B2 (en) * 2013-05-22 2016-03-29 Globalfoundries Inc. Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US9293466B2 (en) * 2013-06-19 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM and methods of forming the same
CN104576389B (zh) * 2013-10-14 2017-11-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其制作方法
US10644116B2 (en) * 2014-02-06 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ straining epitaxial process
US9406797B2 (en) * 2014-03-07 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with dislocations
KR102178831B1 (ko) * 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9419136B2 (en) * 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
KR102202754B1 (ko) * 2014-08-14 2021-01-15 삼성전자주식회사 반도체 장치
US9293530B1 (en) * 2014-11-14 2016-03-22 International Business Machines Corporation High aspect ratio trapping semiconductor with uniform height and isolated from bulk substrate
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9680014B2 (en) * 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same

Also Published As

Publication number Publication date
KR101888306B1 (ko) 2018-08-13
US10937906B2 (en) 2021-03-02
TW201637200A (zh) 2016-10-16
CN105810736B (zh) 2020-04-10
KR20160088213A (ko) 2016-07-25
US20180254346A1 (en) 2018-09-06
TWI591823B (zh) 2017-07-11
US20210257493A1 (en) 2021-08-19
CN105810736A (zh) 2016-07-27
US11569387B2 (en) 2023-01-31
DE102015108690B4 (de) 2021-06-17
KR20170083991A (ko) 2017-07-19
US20160211371A1 (en) 2016-07-21
US9991384B2 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
DE102015108690B4 (de) Halbleitervorrichtung, die gratstrukturen umfasst, und herstellungsverfahren
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102017114981B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102017114973B4 (de) Halbleitervorrichtung und Verfahren zum Bilden einer Halbleitervorrichtung
DE102014115586B4 (de) Integrierte Schaltkreisstruktur mit Substratisolation und undotiertem Kanal
DE102015112913B4 (de) Halbleiterbauelement und Herstellungsverfahren hierfür
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102013112389B4 (de) Passivierung und Facettierung für FIN-Feldeffekttransistor
DE102019132233B4 (de) Verfahren zur herstellung von halbleiter-bauelementen
DE102012111082B4 (de) Gate-Stapel eines Fin-Feldeffekttransistors
DE102015112604B4 (de) Verfahren für die Herstellung eines Halbleiterbauelements
DE102016115751A1 (de) Verfahren zur herstellung eines selbstjustierten kontakts in einer halbleitervorrichtung
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017117971B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102019118385B4 (de) Herstellungsverfahren für eine halbleitervorrichtung
DE102018100114B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102018124741A1 (de) Linerstruktur in dieelektrischer zwischenschichtstruktur für halbleiterbauteile
DE102013100904B4 (de) Gestreckte Struktur einer Halbleitervorrichtung und Verfahren zur Herstellung der gestreckten Struktur
DE102017124779A1 (de) Halbleitervorrichtung und ihr Herstellungsverfahren
DE102016117473A1 (de) Halbleitervorrichtung und verfahren zum herstellen von dieser
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102019113052A1 (de) Halbleiterbauelement und verfahren
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final