DE102021121297A1 - Halbleitervorrichtung - Google Patents

Halbleitervorrichtung Download PDF

Info

Publication number
DE102021121297A1
DE102021121297A1 DE102021121297.9A DE102021121297A DE102021121297A1 DE 102021121297 A1 DE102021121297 A1 DE 102021121297A1 DE 102021121297 A DE102021121297 A DE 102021121297A DE 102021121297 A1 DE102021121297 A1 DE 102021121297A1
Authority
DE
Germany
Prior art keywords
contact
metal
seed layer
crystalline
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021121297.9A
Other languages
English (en)
Inventor
Geunwoo Kim
Wandon Kim
Heonbok Lee
Yoontae Hwang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE102021121297A1 publication Critical patent/DE102021121297A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Eine Halbleitervorrichtung (100) umfasst: einen Aktivbereich (105) vom Lamellen-Typ, welcher sich auf einem Substrat (101) in einer ersten Richtung erstreckt; eine Gate-Struktur (GS), welche sich über den Aktivbereich (105) vom Lamellen-Typ in eine zweite Richtung, welche sich von der ersten Richtung unterscheidet, erstreckt; einen Source-/Drainbereich (110) im Aktivbereich (105) vom Lamellen-Typ auf einer Seite der Gate-Struktur (GS); und eine erste und eine zweite Kontakt-Struktur (CS1, CS2), welche jeweils mit dem Source-/Drainbereich (110) und der Gate-Struktur (GS) verbunden ist, wobei mindestens eine von der ersten und der zweiten Kontakt-Struktur (CS1, CS2) eine Keimschicht (182) umfasst, auf mindestens einem von der Gate-Struktur (GS) und dem Source-/Drainbereich (110) und umfassend ein erstes kristallines Metall, und einen Kontaktstopfen (185) umfasst, auf der Keimschicht (182) und umfassend ein zweites kristallines Metall, welches sich vom ersten kristallinen Metall unterscheidet, und das zweite kristalline Metall im Wesentlichen an das erste kristalline Metall an der Grenzfläche zwischen der Keimschicht (182) und dem Kontaktstopfen (185) gitterangepasst ist.

Description

  • HINTERGRUND
  • 1. Gebiet
  • Ausführungsformen betreffen eine Halbleitervorrichtung.
  • 2. Beschreibung der verwandten Technik
  • Eine Halbleitervorrichtung kann eine integrierte Schaltung, welche verschiedene Transistoren enthält, umfassen. Mit der Integration von Halbleiterbauelementen mit hoher Dichte hat die Herunterskalierung von Transistoren schrittweise zugenommen, und dementsprechend hat ebenfalls eine kritische Dimension (CD) eines Kontakts abgenommen.
  • KURZFASSUNG
  • Ausführungsformen beziehen sich auf eine Halbleitervorrichtung, umfassend: einen Aktivbereich vom Lamellen-Typ bzw. Finnen-Typ, welcher sich auf einem Substrat in einer ersten Richtung, welche parallel zu einer Oberseite des Substrats ist, erstreckt; eine Gate-Struktur, welche sich über den Aktivbereich vom Lamellen-Typ in einer zweiten Richtung, welche parallel zur Oberseite des Substrats ist und sich von der ersten Richtung unterscheidet, erstreckt; einen Source-/Drainbereich im Aktivbereich vom Lamellen-Typ auf einer Seite der Gate-Struktur; ein Isolierabschnitt, welcher die Gate-Struktur und den Source-/Drainbereich bedeckt; eine erste Kontaktstruktur, welche durch den Isolierabschnitt dringt und mit dem Source-/Drainbereich verbunden ist; und eine zweite Kontaktstruktur, welche durch den Isolierabschnitt dringt und mit der Gate-Struktur verbunden ist. Zumindest eine von der ersten und der zweiten Kontaktstruktur kann eine Keimschicht umfassen, auf mindestens einer der Gate-Struktur und dem Source-/Drainbereich, und umfassend ein erstes kristallines Metall, und einen Kontaktstopfen umfasst, auf der Keimschicht und umfassend ein zweites kristallines Metall, welches sich von dem ersten kristallinen Metall unterscheidet. Das zweite kristalline Metall kann im Wesentlichen an das erste kristalline Metall an einer Grenzfläche zwischen der Keimschicht und dem Kontaktstopfen gitterangepasst sein.
  • Ausführungsformen beziehen sich ebenfalls auf eine Halbleitervorrichtung, umfassend: ein Substrat, welches einen Kontaktbereich aufweist; eine erste Isolierschicht auf dem Substrat und aufweisend ein erstes Kontaktloch, welches mit dem Kontaktbereich verbunden ist; eine erste Keimschicht auf dem Kontaktbereich im ersten Kontaktloch und umfassend ein erstes kristallines Metall; und ein erstes Kontaktmetall auf der ersten Keimschicht, welches das erste Kontaktloch füllt, und umfassend ein zweites kristallines Metall. Das zweite kristalline Metall kann im Wesentlichen an das erste kristalline Metall an einer Grenzfläche zwischen dem ersten und den zweiten kristallinen Metall gitterangepasst sein.
  • Ausführungsformen beziehen sich ebenfalls auf eine Halbleitervorrichtung, umfassend: ein Aktivbereich vom Lamellen-Typ, welcher sich auf einem Substrat in einer ersten Richtung, welche parallel zur Oberseite des Substrats ist, erstreckt; eine Gate-Struktur, welche sich über den Aktivbereich vom Lamellen-Typ in einer zweiten Richtung, welche parallel zur Oberseite des Substrats ist und sich von der ersten Richtung unterscheidet, erstreckt; ein Source-/Drainbereich im Aktivbereich vom Lamellen-Typ auf einer Seite der Gate-Struktur; eine erste Kontaktstruktur, welche mit dem Source-/Drainbereich verbunden ist; und eine zweite Kontaktstruktur, welche mit der Gate-Struktur verbunden ist. Zumindest eine von der ersten und der zweiten Kontaktstruktur kann eine Keimschicht auf mindestens eines von der Gate-Struktur und dem Source-/Drainbereich, und einen Kontaktstopfen auf der Keimschicht umfassen. Die Keimschicht kann ein erstes kristallines, leitfähiges Material umfassen, der Kontaktstopfen kann ein zweites kristallines, leitfähiges Material umfassen. Das erste und das zweite kristalline, leitfähige Material können dieselbe Kristallstruktur aufweisen, und eine Differenz zwischen den Gitterkonstanten von dem ersten und dem zweiten kristallinen, leitfähigen Material kann weniger als 1 % sein.
  • Figurenliste
  • Merkmale werden dem Fachmann durch detaillierte Beschreibung von Beispielausführungsformen mit Bezug zu den beigefügten Zeichnungen deutlich, wobei:
    • 1 eine Draufsicht ist, welche eine Halbleitervorrichtung gemäß einer Beispielausführungsform darstellt;
    • 2A bis 2C Querschnittsansichten der in 1 dargestellten Halbleitervorrichtung sind, aufgenommen jeweils entlang den Linien 11-I1', I2-I2', und II-II';
    • 3 eine vergrößerte Ansicht ist, welche einen Teil „Al“ der in 2A dargestellten Halbleitervorrichtung darstellt;
    • 4 ein schematisches Diagramm ist, welches eine Kristallstruktur an einer Grenzfläche zwischen einer Keimschicht und einem Kontaktstopfen in einer in 3 dargestellten Kontaktstruktur darstellt;
    • 5 eine vergrößerte Ansicht ist, welche einen Teil „A2“ der in 2B dargestellten Halbleitervorrichtung darstellt;
    • 6A bis 6D Querschnittsansichten sind, welche Hauptprozesse eines Verfahrens zur Herstellung eines Halbleiterpackages gemäß einer Beispielausführungsform darstellen;
    • 7 und 8 Querschnittsansichten von Kontaktstrukturen sind, welche für die Halbleitervorrichtung gemäß verschiedenen Beispielausführungsformen übernommen werden können (Bildung an einer Seitenfläche) (Bildung einer Feinstruktur);
    • 9 eine Querschnittsansicht ist, welche eine Kontaktstruktur darstellt, welche für die Halbleitervorrichtung gemäß einer Beispielausführungsform übernommen werden kann;
    • 10 eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer Beispielausführungsform ist;
    • 11 eine Querschnittsansicht einer Halbleitervorrichtung gemäß einer Beispielausführungsform ist; und
    • 12 eine Querschnittsansicht ist, welche eine Halbleitervorrichtung gemäß einer Beispielausführungsform darstellt.
  • DETAILLIERTE BESCHREIBUNG
  • 1 ist eine Draufsicht, welche Hauptkomponenten einer Halbleitervorrichtung gemäß einer Beispielausführungsform darstellt, und 2A bis 2C sind Querschnittsansichten der in 1 dargestellten Halbleitervorrichtung, aufgenommen jeweils entlang den Linien I1-I1', I2-I2', und II-II'.
  • Bezugnehmend auf 1 und 2A bis 2C, kann eine Halbleitervorrichtung 100 gemäß der vorliegenden Beispielausführungsform einen Aktivbereich 105 vom Lamellen-Typ umfassen, welcher sich auf einem Substrat 101 in einer ersten Richtung (X-Richtung von 1) parallel zu einer Oberseite des Substrats 101 erstreckt, und eine Gate-Struktur GS, welche sich über den Aktivbereich 105 vom Lamellen-Typ in einer zweiten Richtung (Y-Richtung von 1), welche sich von der ersten Richtung (X-Richtung) unterscheidet, erstreckt.
  • Das Substrat 101 kann einen Gruppe-IV-Halbleiter, wie Si oder Ge, einen Gruppe-IV-IV-Mischhalbleiter, wie SiGe oder SiC, oder einen Gruppe-III-V-Mischhalbleiter, wie GaAs, InAs, oder InP umfassen. Das Substrat 101 kann einen Aktivbereich AR umfassen. Der Aktivbereich AR kann ein leitfähiger Bereich sein, wie eine Wanne, welche mit einer Verunreinigung dotiert ist, oder einer Struktur, welche mit einer Verunreinigung dotiert ist. In einer Beispielausführungsform kann der Aktivbereich AR eine Wanne vom N-Typ für einen Metalloxid-Halbleiter-Transistor vom P-Typ (PMOS), oder eine Wanne vom P-Typ für einen Metalloxid-Halbleiter-Transistor vom N-Type (NMOS) sein.
  • Der Aktivbereich 105 vom Lamellen-Typ kann auf einer Oberseite des Aktivbereichs AR sein. Der Aktivbereich 105 vom Lamellen-Typ kann eine Struktur aufweisen, welche von der Oberseite des Aktivbereichs AR in eine dritte Richtung (Z-Richtung von 1) übersteht bzw. herausragt (welche senkrecht zur ersten und zweiten Richtung ist). Der Aktivbereich 105 vom Lamellen-Typ kann hier als eine aktive Lamelle 105 bezeichnet werden.
  • In der vorliegenden Beispielausführungsform ist die Anzahl an aktiven Lamellen 105 drei, aber ist nicht darauf beschränkt. Eine einzelne aktive Lamelle 105 kann gebildet werden, oder eine andere Anzahl an mehreren aktiven Lamellen 105 kann gebildet werden. Wie in 1 dargestellt, können drei aktive Lamellen 105 parallel zueinander auf dem Aktivbereich AR in der zweiten Richtung angeordnet werden, und können sich jeweils in der ersten Richtung (X-Richtung) erstrecken. Die aktive Lamelle 105 kann als ein aktiver Bereich eines Transistors bereitgestellt werden.
  • Ein Vorrichtungsisolierfilm 107 kann den Aktivbereich AR und die aktive Lamelle 105 definieren. In einer Beispielausführungsform kann der Vorrichtungsisolierfilm 107 aus einem isolierenden Material, wie Siliziumoxid, gebildet sein. Der Vorrichtungsisolierfilm 107 kann einen ersten Isolierbereich 107a, welcher den Aktivbereich AR definiert, und einen zweiten Isolierbereich 107b, welcher die aktive Lamelle 105 definiert, umfassen. Der erste Isolierbereich 107a kann eine untere Fläche bzw. Unterseite aufweisen, welche tiefer als jene des zweiten Isolierbereichs 107b ist. Der erste Isolierbereich 107a kann eine tiefe Grabenisolierung (DTI) sein. Der erste Isolierbereich 107a kann eine flache Grabenisolierung (STI) sein. Der zweite Isolierbereich 107b kann auf dem Aktivbereich AR sein. Die aktive Lamelle 105 kann durch den zweiten Isolierbereich 107b dringen und teilweise von einer Oberseite des zweiten Isolierbereichs 107b hervorragen bzw. überstehen.
  • Die Gate-Struktur GS kann eine Linienstruktur aufweisen, welche sich in der zweiten Richtung (Y-Richtung), welche die erste Richtung (X-Richtung) wie in 1 dargestellt schneidet, erstreckt. Die Gate-Struktur GS kann mit einem Bereich der aktiven Lamelle 105 überlappen.
  • Die Gate-Struktur GS kann Gate-Abstandshalter 141, eine Gate-Isolierschicht 142, welche aufeinanderfolgend bzw. sequentiell zwischen den Gate-Abstandshaltern 141 angeordnet ist, eine Gate-Elektrode 145, und eine Gate-Deckschicht 147 auf der Gate-Elektrode 145 umfassen.
  • Die Gate-Elektrode 145 kann aus dotierten Polysilizium, Metall, einem leitfähigen Metallnitrid, einem leitfähigen Metallcarbid, oder einer Kombination derselben gebildet sein. Zum Beispiel kann die Gate-Elektrode 145 aus Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, oder TaSiN, oder einer Kombination derselben gebildet sein, aber das Material der Gate-Elektrode 145 ist nicht darauf beschränkt. In einer Beispielausführungsform kann die Gate-Elektrode 145 eine Arbeitsfunktionsmetall-enthaltende Schicht und einen Lückenfüll-Metallfilm umfassen. Die Arbeitsfunktionsmetall-enthaltende Schicht kann zumindest ein Metall, ausgewählt aus Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, und Pd enthalten. Der Lückenfüll-Metallfilm kann ein W-Film oder ein Al-Film sein. In einer Beispielausführungsform kann die Gate-Elektrode 145 eine gestapelte Struktur bzw. Stapelstruktur von TiAlC/TiN/W, eine Stapelstruktur von TiN/TaN/TiAlC/TiN/W, oder eine Stapelstruktur von TiN/TaN/TiN/ TiAlC/TiN/W aufweisen, aber ist nicht darauf beschränkt.
  • Die Gate-Isolierschicht 142 kann auf einer unteren Fläche bzw. Unterseite und Seitenwänden der Gate-Elektrode 145 sein, und kann sich in die zweite Richtung (die Y-Richtung von 1) entlang der Unterseite der Gate-Elektrode 145 erstrecken. Die Gate-Isolierschicht 142 kann zwischen der Gate-Elektrode 145 und der aktiven Lamelle 105, und zwischen der Gate-Elektrode 145 und der Oberseite des Vorrichtungsisolierfilms 107 angeordnet bzw. eingefügt sein. Beispiele der Gate-Isolierschicht 142 können einen Siliziumoxid-Film, einen Siliziumoxynitrid-Film, einen hoch-dielektrischen Film mit einer höheren Dielektrizitätskonstante als jene des Siliziumoxid-Films, und eine Kombination derselben umfassen. Der hoch-dielektrische Film kann aus einem Metalloxid oder einem Metalloxynitrid gebildet sein. Zum Beispiel kann der hoch-dielektrische Film, welcher als die Gate-Isolierschicht 142 verwendet werden kann, HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, ZrO2, Al2O3 oder eine Kombination derselben umfassen, aber ist nicht darauf beschränkt.
  • Die Gate-Deckschicht 147 kann auf der Gate-Elektrode 145 sein. Die Gate-Deckschicht 147 kann eine Oberseite der Gate-Elektrode 145 bedecken und sich in der zweiten Richtung (die Y-Richtung von 1) erstrecken. Zum Beispiel kann die Gate-Deckschicht 147 Siliziumnitrid oder Siliziumoxynitrid umfassen. Die Gate-Abstandshalter 141 können auf gegenüberliegenden Seitenwänden der Gate-Elektrode 145 und gegenüberliegenden Seitenwänden der Gate-Deckschicht 147 sein. Die Gate-Abstandshalter 141 können sich auf den gegenüberliegenden Seitenwänden der Gate-Elektrode 145 entlang der Richtung, in welcher sich die Gate-Elektrode 145 erstreckt, erstrecken, und die Gate-Isolierschicht 142 kann zwischen der Gate-Elektrode 145 und den Gate-Abstandshaltern 141 angeordnet bzw. eingeschoben sein. In einer Beispielausführungsform kann der Gate-Abstandshalter 141 Siliziumoxid (SiOx), Siliziumnitrid (SiNx), Siliziumoxynitrid (SiOxNy), Siliziumcarbonitrid (SiCxNy), Siliziumoxycarbonitrid (SiOxCyNz), oder eine Kombination derselben umfassen. In einer Beispielausführungsform kann der Gate-Abstandshalter 141 eine Mehrzahl an Schichten umfassen, welche jeweils aus einem anderen Material gebildet sind. Obwohl 2A und 2B einen Fall darstellen, in welchem die Gate-Abstandshalter 141 eine einzige Schicht umfassen, kann der Gate-Abstandshalter 141 eine Mehrzahl an Abstandshalterschichten umfassen, welche aufeinanderfolgend bzw. sequentiell auf der Seitenwand der Gate-Elektrode 145 gestapelt sind und jeweils eine andere dielektrische Konstante aufweisen.
  • Die Halbleitervorrichtung 100 gemäß der vorliegenden Beispielausführungsform kann Source-/Drainbereiche 110 in Teilbereichen der aktiven Lamelle 105 umfassen, welche auf gegenüberliegenden Seiten der Gate-Struktur GS angeordnet sind.
  • Die Source-/Drainbereiche 110 können gebildet werden durch Bilden von Aussparungen in den Teilbereichen der aktiven Lamelle 105 und Durchführen von selektivem epitaktischen Wachstum (SEG) auf den Aussparungen. Der Source-/Drainbereich 110 kann aus z.B. Si, SiGe, oder Ge gebildet sein. Der Source-/Drainbereich 110 kann ein anderen Material oder eine andere Gestalt zwischen einem Transistor vom N-Typ und einem Transistor vom P-Typ aufweisen. Zum Beispiel kann in einem Fall des PMOS-Transistors der Source-/Drainbereich 110 aus Silizium-Germanium (SiGe) gebildet sein, und kann mit einer Verunreinigung vom P-Typ dotiert sein (zum Beispiel, Bor (B), Indium (In), oder Gallium (Ga)). Ein Querschnitt (Y-Z Querschnitt, siehe 2C) des Source-/Drainbereichs 110 kann eine pentagonale bzw. fünfeckige Gestalt aufweisen. In einem Fall des NMOS-Transistors kann der Source-/Drainbereich 110 aus Silizium gebildet sein, und kann mit einer Verunreinigung vom N-Typ dotiert sein (zum Beispiel, Phosphor (P), Stickstoff (N), Arsen (As), oder Antimon (Sb)). Ein Querschnitt (Y-Z Querschnitt) des Source-/Drainbereichs 110 kann eine hexagonale Gestalt aufweisen, oder kann eine polygonale Gestalt mit einem mäßigen bzw. dezenten Winkel aufweisen. Von daher kann der Source-/Drainbereich 110, die aktive Lamelle 105, und die Gate-Struktur GS ein dreidimensionales Halbleiterelement, wie einen Lamellen-Feldeffekt-transistor (FinFET) bilden.
  • Die Halbleitervorrichtung 100 gemäß der vorliegenden Beispielausführungsform kann eine erste Kontaktstruktur CS1 umfassen, welche durch einen Isolierabschnitt 160 dringt und mit dem Source-/Drainbereich 110 verbunden ist, und eine zweite Kontaktstruktur CS2, welche durch den Isolierabschnitt 160 dringt und mit der Gate-Elektrode 145 der Gate-Struktur GS verbunden ist.
  • Der Isolierabschnitt 160 kann einen Zwischengate-Isolierfilm 161 und einen bedeckenden Isolierfilm 162 umfassen, welche aufeinanderfolgend bzw. sequentiell auf dem Source-/Drainbereich 110 gestapelt sind. Der Zwischengate-Isolierfilm 161 kann zwischen benachbarten Gate-Strukturen GS angeordnet sein und den Source-/Drainbereich 110 und den Vorrichtungs-Isolierfilm 107 bedecken. Der Zwischengate-Isolierfilm 161 kann eine Oberseite aufweisen, welche im Wesentlichen komplanar mit den Oberseiten der Gate-Abstandshalter 141 und der Gate-Deckschicht 147 sind. In einer Beispielausführungsform kann zumindest einer von dem Zwischengate-Isolierfilm 161 oder dem Abdeck-Isolierfilm 162 aus Siliziumnitrid, Siliziumoxid, oder Siliziumoxynitrid gebildet sein. In einer Beispielausführungsform kann der Zwischengate-Isolierfilm 161 aus TEOS, USG, PSG, BSG, BPSG, FSG, SOG, TOSZ, oder einer Kombination derselben gebildet sein. Der Zwischengate-Isolierfilm 161 kann durch z.B. chemische Gasphasenabscheidung (CVD) oder Rotationsbeschichtung gebildet sein.
  • In der vorliegenden Beispielausführungsform kann jede von der ersten und der zweiten Kontaktstruktur CS 1 und CS2 eine Keimschicht 182 und einen Kontaktstopfen 185 auf der Keimschicht 182 umfassen. Die Isolierschicht 182 kann auf jeder der Gate-Elektrode 145 und dem Source-/Drainbereich 110 sein.
  • Die Keimschicht 182 kann auf dem Boden jeder von der ersten und der zweiten Kontaktstruktur CS 1 und CS2 angeordnet sein, um die Bindungsstärke zwischen der Gate-Elektrode 145 und dem Kontaktstopfen 185 und zwischen dem Source-/Drainbereich 110 und dem Kontaktstopfen 185 zu verbessern. Folglich kann die Keimschicht 182 effektiv bzw. wirksam verhindern, dass der Kontaktstopfen 185 während der Bearbeitung, wie chemisch-mechanisches Polieren (CMP), abfällt (siehe 6D).
  • Gemäß der vorliegenden Beispielausführungsform umfasst die Keimschicht 182 ein erstes kristallines Metall, und der Kontaktstopfen 185 umfasst ein zweites kristallines Metall, welches sich von dem ersten kristallinen Metall unterscheidet. Das kristalline Metall kann ein polykristallines Metall oder ein monokristallines Metall umfassen. Die Keimschicht 182 kann aus einem Metall gebildet sein, welches einen relativ geringen Widerstand, wie der Kontaktstopfen 185, aufweist. Deshalb kann ein Kontaktwiderstand verringert sein. In einem Fall, in welchem das erste und das zweite kristalline Metall polykristalline Metalle sind, kann der Kontaktstopfen 185 eine erhöhte Korngröße gemäß einer Korngröße der Keimschicht 182, d.h. dem ersten kristallinen Metall, aufweisen. Eine mittlere freie Weglänge kann aufgrund der Zunahme der Korngröße sinken. Deshalb kann der Kontaktstopfen 185 aus einem Material gebildet sein, welches einen geringen Widerstand aufweist.
  • 3 ist eine vergrößerte Ansicht eines Teils „A1“ der in 2A dargestellten Halbleitervorrichtung.
  • Bezugnehmend auf 3 kann ein Metallsilizid-Film 120 auf dem Source-/Drainbereich 110 sein. Der Metallsilizid-Film 120 kann auf einem ausgesparten Bereich 110R des Source-/Drainbereichs 110 sein. Der Metallsilizid-Film 120 kann ein kristalliner Silizid-Film sein. Zum Beispiel kann der Metallsilizid-Film 120 ein Silizid-Film sein, welcher Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, Pd, oder eine Kombination derselben enthält. In einer Beispielausführungsform kann der Metallsilizid-Film 120 aus CoSi, NiSi, oder TiSi gebildet sein.
  • Die erste Kontaktstruktur CS1 kann die Keimschicht 182 auf dem Metallsilizid-Film 120, und den Kontaktstopfen 185 auf der Keimschicht 182 umfassen. In der vorliegenden Beispielausführungsform kann jede von der Keimschicht 182 und dem Kontaktstopfen 185 aus einem polykristallinen Metall gebildet sein.
  • In der vorliegenden Beispielausführungsform umfasst die Keimschicht 182 ein erstes kristallines Metall, welches erste Körner G1 enthält, und der Kontaktstopfen 185 umfasst ein zweites kristallines Metall, welches zweite Körner G2 enthält. In der vorliegenden Beispielausführungsform sind die ersten und die zweiten Körner G1 und G2 jeweils durch Grundgrenzen GB1 und GB2 definiert, und können jeweils eine kristalline Orientierung auf gleicher Ebene aufweisen. Um das Verständnis zu unterstützen, ist die kristalline Orientierung bzw. Kristallorientierung bzw. Kristallausrichtung von jedem der Kristallkörner G1 und G2 als diagonale Linien in jedem der Kristallkörner G1 und G2 in 3 schematisch dargestellt. In der vorliegenden Beispielausführungsform hängt die Kristallorientierung des zweiten kristallinen Metalls von der Kristallorientierung des ersten kristallinen Metalls ab, welches die Keimschicht 182 ist, und das zweite kristalline Metall kann auf dem ersten kristallinen Metall, wie z.B. durch das epitaktische Wachstum, abgeschieden werden. Eine derartige Kristallorientierung kann durch ein Röntgenbeugungsverfahren bestätigt werden.
  • Wie in 4 dargestellt, kann das zweite kristalline Metall im Wesentlichen an das erste kristalline Metall an einer Grenzfläche zwischen der Keimschicht 182 und dem Kontaktstopfen 185 gitterangepasst sein. Das heißt, das Kristallgitter in den ersten Körnern G1 und das Kristallgitter in den zweiten Körnern G2, welche zu den ersten Körnern G1 benachbart sind, kann im Wesentlichen zueinander angepasst sein, und ein Potential, welches durch Fehlanpassung verursacht wird, kann in den benachbarten zweiten Körnern G2 kaum bestehen bzw. existieren. Eine Bedingung für eine derartige Gitter-Fehlpassung kann basierend auf Bedingungen einer Kristallstruktur und einer Gitterkonstante bestimmt werden. Das erste und das zweite kristalline Metall können dieselbe Kristallstruktur aufweisen (zum Beispiel eine kubisch-raumzentrierte Struktur, BCC). Ferner können die Gitterkonstanten α1 und α2 von dem ersten und dem zweiten kristallinen Metall im Wesentlichen dieselben sein oder eine Differenz zwischen den Gitterkonstanten α1 und α2 von dem ersten und dem zweiten kristallinen Metall können signifikant klein sein. Zum Beispiel kann die Differenz zwischen den Gitterkonstanten α1 und α2 von dem ersten und dem zweiten kristallinen Metall kleiner als 1 % sein.
  • In einer Beispielausführungsform kann eines von der Keimschicht 182 und dem Kontaktstopfen 185 Wolfram (W) umfassen, und das andere von der Keimschicht 182 und dem Kontaktstopfen 185 kann Molybdän (Mo) umfassen. Zum Beispiel kann die Keimschicht 182 Wolfram (W) umfassen und der Kontaktstopfen 185 kann Molybdän (Mo) umfassen. Wolfram (W) und Molybdän (Mo) können dieselben kubisch-raumzentrierten Strukturen BC1 und BC2 als Kristallstrukturen, welche in 4 dargestellt sind, aufweisen, und die Gitterkonstanten α1 und α2 derselben können jeweils 3,16 Å und 3,15 Å sein, und eine Differenz zwischen den Gitterkonstanten α1 und α2 kann weniger bzw. kleiner als 1 % sein. Molybdän (als das zweite kristalline Metall) kann auf Wolfram (als das erste kristalline Metall), z.B. durch epitaktisches Wachstum, abgeschieden werden, und Kristallgitter von benachbarten ersten und zweiten Körnern können auf einander angepasst sein. In einer Gitteranpassung können die Körner G2 des Kontaktstopfens 185 eine erhöhte Größe gemäß der Größe der Körner G1 der Keimschicht 182 aufweisen. Wenn die Korngröße zunimmt, kann der Kontaktstopfen 185, welcher einen geringen Widerstand aufweist, bereitgestellt werden. Die Körner G2 des Kontaktstopfens 185 können eine Größe von 7 nm oder mehr, oder eine Größe von 10 nm oder mehr aufweisen, aber sind nicht darauf beschränkt. In einer Beispielausführungsform kann in einem Fall, in welchem der Kontaktstopfen 185 Molybdän umfasst, ein spezifischer Widerstand des Kontaktstopfens 185 50 µΩ·cm oder weniger, oder 10 µΩ·cm oder weniger sein.
  • Die Keimschicht 182 kann auf einem Boden bzw. einer Unterseite eines ersten Kontaktlochs CH1 für die erste Kontaktstruktur CS1 sein. Der Kontaktstopfen 185 kann in dem ersten Kontaktloch CH1 ohne einen Barriere- bzw. Grenzfilm angeordnet sein.
  • Eine Seitenwand des Kontaktstopfens 185 kann in direktem Kontakt mit dem Isolierabschnitt 160 sein. Durch Weglassen eines Barriere- bzw. Grenzfilms, welcher einen relativ hohen Widerstand aufweist, kann der Kontaktwiderstand zwischen dem Kontaktstopfen 185 und dem Metallsilizid-Film 120 sinken, und die Größe (insbesondere die Breite) der ersten Kontaktstruktur CS1 kann sinken.
  • In einer Beispielausführungsform kann die Dicke t der Keimschicht 182 5 nm oder weniger, oder 3 nm oder weniger sein, aber ist nicht darauf beschränkt. Das erste kristalline Metall für die Keimschicht 182 kann durch Abscheidung, wie z.B. durch physikalische Gasphasenabscheidung (PVD) gebildet werden, um eine gewünschte Kristallinität sicherzustellen.
  • In der vorliegenden Beispielausführungsform wird ein Fall dargestellt, in welchem die Keimschicht 182 aus einem Metall gebildet ist. Die Keimschicht 182 kann jedoch ebenfalls aus einem anderen kristallinen, leitfähigen Material gebildet sein, z.B., eine kristalline Metallverbindung, welche an das Metall des Kontaktstopfens 185 gitterangepasst ist.
  • Bezugnehmend auf 5 kann die zweite Kontaktstruktur CS2, bezogen auf die Gate-Struktur GS als eine Kontaktstruktur geringen Widerstands, wie die erste Kontaktstruktur CS1, implementiert bzw. verwirklicht bzw. realisiert werden. 5 ist eine vergrößerte Ansicht, welche einen Teil „A2“ der in 2B dargestellten Halbleitervorrichtung darstellt an.
  • Die zweite Kontaktstruktur CS2, welche in 5 dargestellt ist, kann die Keimschicht 182 auf der Gate-Elektrode 145, und den Kontaktstopfen 185 auf der Keimschicht 182 umfassen. In der vorliegenden Beispielausführungsform können jeweils die Keimschicht 182 und der Kontaktstopfen 185 aus einem polykristallinen Metall gebildet sein. In einer Beispielausführungsform kann in einem Fall, in welchem die Gate-Elektrode 145 Polysilizium umfasst, ein Metallsilizid-Film in einem Kontaktbereich der Gate-Elektrode 145, wie die erste Kontaktstruktur CS1, gebildet werden.
  • Wie die erste Kontaktstruktur CS1 umfasst die Keimschicht 182 ein erstes kristallines Metall, welches erste Körner G1' enthält, und der Kontaktstopfen 185 umfasst ein zweites kristallines Metall, welches zweite Körner G2' enthält. Die ersten Körner G1' und die zweiten Körner G2' können jeweils durch Grundgrenzen GB2' definiert sein. In der zweiten Kontaktstruktur CS2 wird die Keimschicht 182 ebenfalls aus einem polykristallinen Metall, wie der Kontaktstopfen 185, gebildet. Da die Keimschicht 182 jedoch eine kleine Fläche aufgrund der relativ kleinen Dicke aufweist, besteht eine Möglichkeit, dass die Korngrenze bzw. Korngrenzfläche in einigen ausgewählten Querschnitten nicht beobachtet wird.
  • Die Kristallorientierung des zweiten kristallinen Metalls hängt von der Kristallorientierung des ersten kristallinen Metalls ab, was die Keimschicht 182 ist, und das zweite kristalline Metall kann auf dem ersten kristallinen Metall, wie z.B. durch epitaktisches Wachstum, abgeschieden werden. Das zweite kristalline Metall kann im Wesentlichen an das erste kristalline Metall an einer Grenzfläche zwischen der Keimschicht 182 und dem Kontaktstopfen 185 gitterangepasst sein. Wie die erste Kontaktstruktur CS1 kann eines von der Keimschicht 182 und dem Kontaktstopfen 185 der zweiten Kontaktstruktur CS2 Wolfram (W) umfassen, und das andere von der Keimschicht 182 und dem Kontaktstopfen 185 kann Molybdän (Mo) umfassen. Zum Beispiel kann die Keimschicht 182 Wolfram (W) umfassen, und der Kontaktstopfen 185 kann Molybdän (Mo) umfassen. Die zweite Kontaktstruktur CS2 kann durch Bearbeitung bzw. Verarbeitung, wie jene für die erste Kontaktstruktur CS1, gebildet sein.
  • Die Halbleitervorrichtung 100 kann eine Verdrahtungsleitung 190 umfassen, welche mit der ersten und der zweiten Kontaktstruktur CS1 und CS2 auf der ersten und der zweiten Kontaktstruktur CS1 und CS2 verbunden sind. Die Verdrahtungsleitung 190 kann einen Teil der Verdrahtung umfassen, der als Teil des Back-End-Of-Line (BEOL) gebildet wird.
  • Die erste und die zweite Zwischengate-Isolierschicht 172 und 173 können auf dem Isolierabschnitt 160 sein. Ein Ätzstoppfilm 171 kann zwischen dem Isolierabschnitt 160 (insbesondere dem Abdeck-Isolierfilm 162) und der ersten Zwischenschicht-Isolierschicht 172 angeordnet sein. In einer Beispielausführungsform kann der Ätzstoppfilm 171 aus Siliziumnitrid, Siliziumcarbonitrid, oder Aluminiumnitrid, oder Aluminiumoxid gebildet sein. In einer Beispielausführungsform können die erste und die zweite Zwischenschicht-Isolierschicht 172 und 173 aus Siliziumoxid, Siliziumnitrid, oder Siliziumoxynitrid gebildet sein.
  • Die Verdrahtungsleitung 190 kann Metallleitungen ML, welche sich in der ersten Richtung (die X-Richtung von 1) erstrecken, und Metall-Durchkontaktierungen (VM), welche zwischen der ersten und der zweiten Kontaktstruktur CS1 und CS2 und den Metallleitungen ML angeordnet sind, umfassen.
  • Die Verdrahtungsleitung 190 auf der ersten und der zweiten Kontaktstruktur kann durch eine Kombination der Keimschicht 182 und des Kontaktstopfens 185, wie die erste und die zweite Kontaktstruktur CS1 und CS2, gebildet sein. Zum Beispiel kann, wie in 5 dargestellt, die Verdrahtungsleitung 190 eine Verdrahtungsleitung-Keimschicht 192 bzw. Keimschicht 192 auf der Verdrahtungsleitung in zumindest einem Teilbereich einer Oberseite der zweiten Kontaktstruktur CS2 (insbesondere des Kontaktstopfens 185), und ein Füllmetall 195 auf der Verdrahtungsleitung-Keimschicht 192 umfassen.
  • Die Verdrahtungsleitung-Keimschicht 192 kann aus einem kristallinen, leitfähigen Material gebildet sein. Wie in 5 dargestellt, kann die Verdrahtungsleitung-Keimschicht 192 im Bereich der Oberseite des Kontaktstopfens 185, welche einem Durchkontaktierungsloch ausgesetzt ist, sowie in einer Oberseite (einschließlich der Oberfläche des Durchkontaktierungslochs) der ersten Zwischenschicht-Isolierschicht 172 gebildet sein. In der Verdrahtungsleitung-Keimschicht 192 kann, wie in 5 dargestellt, ein kristallines, leitfähiges Material, welches die dritten Körner G3 enthält, in einem ersten Bereich 192a, welcher sich auf dem Kontaktstopfen 185 befindet, angeordnet sein, und ein amorphes oder amorph-ähnliches leitfähiges Material kann in einem zweiten Bereich 192b, welcher sich auf der Oberfläche der ersten Zwischenschicht-Isolierschicht 172 befindet, welcher amorph sein kann, angeordnet sein.
  • Das Füllmetall 195 kann ein kristallines Metall umfassen. Zumindest auf dem ersten Bereich 192a der Verdrahtungsleitung-Keimschicht 192 kann die Kristallorientierung des Füllmetalls 195 die Kristallorientierung im ersten Bereich 192a an einer Grenze, an welcher die dritten Körner G3 zu den vierten Körnern G4 benachbart sind, beeinflussen. Außerdem kann das Kristallwachstum des Füllmetalls 195 wie das in dem ersten Bereich 192a eingestellt sein, selbst im zweiten Bereich 192b (welcher benachbart zum ersten Bereich 192a ist). Die vierten Körner G4, welche durch eine Korngrenze bzw. Korngrenzfläche GB4 definiert sind, können eine relativ große Größe aufweisen, und folglich kann die Verdrahtungsleitung 190 als eine Geringwiderstand-Struktur bzw. Struktur mit geringem Widerstand verwirklicht bzw. realisiert werden. In einer Beispielausführungsform kann die Verdrahtungsleitung-Keimschicht 192 aus einem leitfähigen Material, wie Wolfram (W), Titannitrid (TiN), Tantalnitrid (TaN), Titansiliziumnitrid (TiSiN), Wolframcarbonitrid (WCN), oder Wolframnitrid (WN) gebildet sein. Beispiele des Füllmetalls 195 können Metalle, wie Molybdän (Mo), Ruthenium (Ru), Wolfram (W), Kobalt (Co), und Kupfer (Cu) umfassen.
  • In einer Beispielausführungsform können, wie die vorstehend beschriebene erste und die zweite Kontaktstruktur CS1 und CS2, Bedingungen der Kristallstrukturen und Gitterkonstanten der Verdrahtungsleitung-Keimschicht 192 und des Füllmetalls 195 derart ausgewählt werden, dass die Kristallgitter der dritten Körner G3 der Verdrahtungsleitung-Keimschicht 192 und den benachbarten vierten Körnern G4 im Wesentlichen aufeinander angepasst sind. In einer Beispielausführungsform können die Kristallstrukturen der zwei Materialien dieselben sein, und eine Differenz zwischen den Gitterkonstanten der zwei Materialien kann weniger als 1 % sein. Zum Beispiel kann eines von der Verdrahtungsleitung-Keimschicht 192 und dem Füllmaterial 195 Wolfram (W) umfassen, und das andere von der Verdrahtungsleitung-Keimschicht 192 und dem Füllmetall 195 kann Molybdän (Mo) umfassen.
  • 6A bis 6D sind Querschnittsansichten, welche Hauptprozesse eines Verfahrens zur Herstellung eines Halbleiterpackages gemäß einer Beispielausführungsform darstellen.
  • Ein Prozess des Bildens der ersten Kontaktstruktur in der vorstehend beschriebenen Halbleitervorrichtung 100 wird unter Bezugnahme auf 6A bis 6D im Detail beschrieben. Zur einfacheren Erklärung zeigen die Querschnittsansichten vergrößerte Ansichten des Teils „A1“ von 2A, wie in 3 dargestellt. Obwohl hier einige Komponenten der vorliegenden Beispielausführungsform schematische dargestellt sind, werden diese mit Bezug zu einer Beschreibung derselben oder ähnlichen Komponenten der in den 1 bis 5 dargestellten Beispielausführungsform verstanden, soweit nicht anders ausdrücklich angegeben.
  • Bezugnehmend auf 6A kann das erste Kontaktloch CH1, welches mit dem Source-/Drainbereich 110 verbunden ist, gebildet werden, und der Metallsilizid-Film 120 kann auf einer Oberfläche des Source-/Drainbereichs 110, welcher dem ersten Kontaktloch CH1 ausgesetzt ist, gebildet sein.
  • Das erste Kontaktloch CH1, welches die erste Kontaktstruktur definiert, kann durch aufeinanderfolgendes bzw. sequentielles Ätzen des Zwischengate-Isolierfilms 161 und des Abdeck-Isolierfilms 162 unter Verwendung einer Ätzmaske gebildet sein. Ein Teilbereich des Source-/Drainbereichs 110 kann dem ersten Kontaktloch CH1 ausgesetzt sein. Der ausgesetzte Teilbereich des Source-/Drainbereichs 110 kann auf eine bestimmte Tiefe im Prozess des Bildens des ersten Kontaktlochs CH1 ausgespart sein. In diesem Prozess kann ein zweites Kontaktloch CH2, welches die zweite Kontaktstruktur definiert, ebenfalls gebildet sein.
  • Der Metallsilizid-Film 120 kann auf dem ausgesetzten Bereich des Source-/Drainbereichs 110 durch Bilden einer Metallschicht auf dem ausgesparten Bereich des Source-/Drainbereichs, welcher dem ersten Kontaktloch CH1 ausgesetzt ist, und Durchführen von Ausglühen bzw. Annealing gebildet sein.
  • Als nächstes kann, bezugnehmend auf 6B, die Keimschicht 182 auf dem Metallsilizid-Film 120 abschieden werden.
  • Die Keimschicht 182 kann ein kristallines Metall, wie ein monokristallines Metall, oder ein polykristallines Metall umfassen. Die Keimschicht 182 kann durch lineare bzw. gerade Ablagerung, wie PVD, gebildet sein, um eine ausreichende Kristallinität sicherzustellen. Mit einer derartigen linearen bzw. geraden Ablagerung kann die Keimschicht 182 nicht nur auf einem gewünschten Abschnitt des Metallsilizid-Films 120, welcher auf der Unterseite des ersten Kontaktlochs CH1 angeordnet ist, gebildet werden, sondern auch auf einer Oberseite des Isolierabschnitts 160. Eine Keimmaterialschicht 182T, welche auf dem Isolierabschnitt 160 angeordnet ist, kann in einer nachfolgenden Bearbeitung entfernt werden. Die Keimschicht 182 kann eine Dicke von 5 nm oder weniger, oder eine Dicke von 3 nm oder weniger aufweisen, ist aber nicht darauf beschränkt.
  • Ein Material, welches zur Bildung der Keimschicht 182 verwendet wird, kann unter Berücksichtigung einer Bedingung unter einer Gitteranpassung an den Kontaktstopfen 185 (6D) ausgewählt werden, um in einer nachfolgenden Bearbeitung gebildet zu werden. In einer Beispielausführungsform kann die Keimschicht 182 Wolfram (W) oder Molybdän (Mo) umfassen. Das Material, welches zur Bildung der Keimschicht 182 verwendet wird, kann ein leitfähiges Material, wie eine kristalline Metallverbindung, welche für die vorstehend beschriebene Bedingung für die Gitteranpassung mit dem Metall des Kontaktstopfens 185 geeignet ist, umfassen. Zum Beispiel kann die Keimschicht 182 ein leitfähiges Material wie Wolfram (W), Titannitrid (TiN), Tantalnitrid (TaN), Titansiliziumnitrid (TiSiN), Wolframcarbonitrid (WCN), oder Wolframnitrid (WN) umfassen.
  • Dann kann, bezugnehmend auf 6C, der Kontaktstopfen 185 gebildet sein, um das erste Kontaktloch CH1 unter Verwendung der Keimschicht 182 zu füllen.
  • In dieser Bearbeitung kann eine Metall-Materialschicht 185' für den Kontaktstopfen gebildet werden, um die Oberseite des Isolierabschnitts 160 zu bedecken, während das erste Kontaktloch CH1 gefüllt wird. Die Metall-Materialschicht 185' kann aus einem Metall, wie Molybdän (Mo), Ruthenium (Ru), Wolfram (W), Kobalt (Co), oder Kupfer (Cu) gebildet sein. Die Metall-Materialschicht 185' für den Kontaktstopfen kann gewachsen sein, um eine spezifische Orientierung gemäß der Kristallorientierung der Keimschicht 182 aufzuweisen, und kann eine relativ große Korngröße aufweisen. Die Metall-Materialschicht 185' kann ein kristallines Metall aufweisen, welches für die Gitteranpassung mit der Keimschicht 182 geeignet ist. Die Kristallstruktur der zwei Materialien kann dieselbe sein, und eine Differenz zwischen Gitterkonstanten der zwei Materialien kann weniger als 1 % sein. Zum Beispiel kann die Metall-Materialschicht 185' Molybdän (Mo) oder Wolfram (W) umfassen. In einer Beispielausführungsform kann die Keimschicht 182 Wolfram (W) und die Metall-Materialschicht 185' kann Molybdän (Mo) umfassen.
  • In der vorliegenden Beispielausführungsform kann die Metall-Materialschicht 185' im ersten Kontaktloch CH1 ohne einen Barriere- bzw. Grenzfilm versehen bzw. ausgestattet sein. Durch das Weglassen eines Barriere- bzw. Grenzfilms, welcher einen relativ großen Widerstand aufweist, kann ein Kontaktwiderstand zwischen dem Kontaktstopfen 185 und dem Metallsilizid-Film 120 sinken, und die Größe der ersten Kontaktstruktur CS1 kann sinken. In der vorliegenden Beispielausführungsform kann die Metall-Materialschicht 185' für den Kontaktstopfen in direktem Kontakt mit dem Isolierabschnitt 160 an den Innenseitenwänden des ersten Kontaktlochs CH1 sein.
  • Als nächstes, bezugnehmend auf 6D kann ein Kontaktstopfen-Material 185T und die Keimmaterialschicht 182T, welche auf dem Isolierabschnitt 160 angeordnet ist, durch Polieren entfernt werden. Zum Beispiel kann eine Planarisierungsbearbeitung, wie ein chemisch-mechanisches Polieren (CMP) durchgeführt werden, um eine Oberseite des Abdeck-Isolierfilms 162 freizulegen. Ein Abschnitt der Metall-Materialschicht 185' (6C), welche auf dem Abdeck-Isolierfilm 162 angeordnet ist, kann entfernt werden, und lediglich ein Abschnitt der Metall-Materialschicht 185', welche das erste Kontaktloch CH1 füllt, kann verbleiben und als der Kontaktstopfen 185 versehen bzw. bereitgestellt werden. Der Kontaktstopfen 185 kann eine Oberseite aufweisen, welche im Wesentlichen komplanar mit der Oberseite des Isolierabschnitts 160 ist. Dann kann ein BEOL-Prozess angewandt werden, um die Verdrahtungsleitung 190, welche mit der ersten Kontaktstruktur CS1 verbunden ist, zusammen mit der ersten und der zweiten Zwischenschicht-Isolierschicht 172 und 173 in nachfolgender Bearbeitung zu bilden. Die zweite Kontaktstruktur CS2 kann durch Bearbeitung, wie jene für die erste Kontaktstruktur CS1, gebildet sein.
  • Die Kontaktstrukturen können in verschiedenen Formen modifiziert sein. Zum Beispiel kann ein Bereich, in welchem die Keimschicht gebildet ist, abhängig von der Ablagerung bzw. Anordnung variieren, und in einem Stapel der Kontaktstruktur kann eine Kombination einer Keimschicht und eines Kontaktmetalls variieren.
  • 7 und 8 sind Querschnittsansichten von Kontaktstrukturen, welche für die Halbleitervorrichtung gemäß verschiedenen Beispielausführungsformen übernommen bzw. angenommen werden kann. Die Querschnittsansichten zeigen vergrößerte Ansichten des Teils „A1“ von 2A, wie in 3 dargestellt.
  • Es kann verstanden werden, dass eine in 7 dargestellte erste Kontaktstruktur CS 1' ähnlich zur in 3 dargestellten ersten Kontaktstruktur CS1 ist, außer, dass die erste Kontaktstruktur CS1' eine Keimschicht 182' umfasst, welche sich zu einem Abschnitt bzw. Teil der Innenseitenwand des ersten Kontaktlochs CH1 erstreckt. Ferner können Komponenten der vorliegenden Beispielausführungsform mit Bezugnahme auf eine Beschreibung von denselben oder ähnlichen Komponenten der Beispielausführungsform, welche in den 1 bis 4 (insbesondere 3) dargestellt sind, verstanden werden, soweit nicht ausdrücklich anders angegeben.
  • In der vorliegenden Beispielausführungsform ist die Keimschicht 182' auf dem Boden des ersten Kontaktlochs CH1 gebildet, und weist ebenfalls einen Abschnitt 182E auf, welcher sich auf der Innenseitenwand des ersten Kontaktlochs CH1 erstreckt. Der erstreckende Abschnitt 182E der Keimschicht 182' kann einen kristallinen Abschnitt umfassen, welcher vom Metallsilizid-Film 120 gewachsen ist, welcher kristallin sein kann. Der Kontaktstopfen 185 kann von der Oberfläche der Keimschicht 182' gewachsen sein und kann eine Kristallorientierung gemäß der Kristallorientierung der Keimschicht 182' aufweisen. Zwei Materialien können im Wesentlichen aneinander an einer Grenzfläche zwischen dem Kontaktstopfen 185 und der Keimschicht 182' gitterangepasst sein, und folglich kann der Kontaktstopfen 185 eine relativ große Korngröße aufweisen.
  • Ein Fall, in welchem die erste Kontaktstruktur CS1' eine kleine Größe aufweist, ist dargestellt. Die erste Kontaktstruktur CS1', welche eine kleine Größe aufweist, weist eine relativ kleine Querschnittsfläche auf. Deshalb gibt es, selbst in einem Fall, in welchem der Kontaktstopfen 185 ein polykristallines Metall enthält, eine Möglichkeit, dass eine Korngrenze bzw. Korngrenzfläche in einigen ausgewählten Querschnitten nicht beobachtet wird.
  • Die Größe der ersten Kontaktstruktur CS1' kann als eine untere Breite W und eine Höhe H definiert sein. Die untere Breite W der ersten Kontaktstruktur CS1' kann 20 nm oder weniger, oder 15 nm oder weniger sein, ist jedoch nicht darauf beschränkt. In einer Beispielausführungsform kann ein Aspektverhältnis der Höhe H zur unteren Breite W der ersten Kontaktstruktur CS1' 3:1 oder größer sein.
  • Hinsichtlich 8 kann verstanden werden, dass eine in 8 dargestellte erste Kontaktstruktur CS1" ähnlich zur in 3 dargestellten ersten Kontaktstruktur CS1 ist, außer, dass die erste Kontaktstruktur CS1" eine Keimschicht 182" umfasst, welche sich auf der gesamten, oder nahezu der gesamten Innenseitenwand des ersten Kontaktlochs CH1 erstreckt, und ein erweiterter zweiter Abschnitt 182b der Keimschicht 182" nicht aus einem kristallinen Metall gebildet ist. Ferner können Komponenten der vorliegenden Beispielausführungsform mit Bezug zu einer Beschreibung derselben oder ähnlichen Komponenten der Beispielausführungsform, welche in den 1 bis 4 (insbesondere 3) dargestellt sind, verstanden werden, sofern es nicht ausdrücklich anders angegeben ist.
  • In der vorliegenden Beispielausführungsform umfasst die Keimschicht 182" einen ersten Abschnitt 182a, welcher auf dem Boden des ersten Kontaktlochs CH1 angeordnet ist, und der zweite Abschnitt 182b, welcher sich auf der Innenseitenwand des ersten Kontaktlochs CH1 erstreckt. Der zweiten Abschnitt 182b der Keimschicht 182" kann entlang nahezu der gesamten Innenseitenwand des ersten Kontaktlochs CH1 angeordnet sein. Der erste Abschnitt 182a kann einen kristallinen (monokristallinen oder polykristallinen) Abschnitt umfassen, welcher vom Metallsilizid-Film 120 gewachsen ist, und der zweite Abschnitt 182b kann amorph oder amorph-ähnlich von dem Isolierabschnitt 160 sein, welcher als die innere Wandoberfläche bzw. Innenwandoberfläche dient. Der Kontaktstopfen 185 kann von der Oberfläche des ersten Abschnitts 182a der Keimschicht 182" gewachsen sein und kann eine Kristallorientierung gemäß der Kristallorientierung des ersten Abschnitts 182a aufweisen. Zwei Materialien können im Wesentlichen aufeinander an der Grenzfläche zwischen dem Kontaktstopfen 185 und dem ersten Abschnitt 182a der Keimschicht 182" gitterangepasst sein, und folglich kann der Kontaktstopfen 185 eine relativ große Korngröße aufweisen, wodurch eine Niedrigwiderstand-Kontaktstruktur bzw. Kontaktstruktur geringen Widerstands bereitgestellt wird.
  • 9 ist eine Querschnittsansicht, welche eine Kontaktstruktur darstellt, welche für die Halbleitervorrichtung gemäß einer Beispielausführungsform angenommen bzw. übernommen werden kann. Die Querschnittsansicht zeigt eine vergrößerte Ansicht des Teils „A2“ von 2B, wie in 5 dargestellt.
  • Es kann verstanden werden, dass ein Stapel einer zweiten Kontaktstruktur CS2' und die Metall-Durchkontaktierung VM, welche in 9 dargestellt sind, ähnlich sind zum Stapel der zweiten Kontaktstruktur CS2 und der Metall-Durchkontaktierung VM, welche in 5 dargestellt sind, außer hinsichtlich Positionen, an welchen eine erste Keimschicht 182' und eine zweite Keimschicht 192 gebildet sind. Ferner können die Komponenten der vorliegenden Beispielausführungsform mit Bezug zu einer Beschreibung denselben oder ähnlichen Komponenten der Beispielausführungsform, welche in den 1, 2A bis 2C, und 5 (insbesondere 5) dargestellt sind, verstanden werden, sofern es nicht ausdrücklich anders angegeben ist.
  • In der vorliegenden Beispielausführungsform umfasst die Keimschicht 182' einen ersten Abschnitt 182a', welcher auf der Unterseite des zweiten Kontaktlochs CH2 angeordnet ist, und einen zweiten Abschnitt 182b', welcher sich auf einer Innenseitenwand des zweiten Kontaktlochs CH2 erstreckt. Der zweite Abschnitt 182b' der Keimschicht 182' kann entlang eines Teils der Seitenwand des zweiten Kontaktlochs CH2 angeordnet sein und kann amorph oder amorph-ähnlich sein, im Gegensatz zur vorstehend beschriebenen Beispielausführungsform. Andererseits kann der erste Abschnitt 182a' einen kristallinen (monokristallinen oder polykristallinen) Abschnitt umfassen, welcher vom Metallsilizid-Film 120 gewachsen ist, und der Kontaktstopfen 185 kann von der Oberfläche des ersten Abschnitts 182a' der Keimschicht 182' gewachsen sein, und weist eine Kristallorientierung gemäß der Kristallorientierung des ersten Abschnitts 182a' auf. Kristallgitter der zwei Materialien können im Wesentlichen aufeinander an der Grenzfläche zwischen dem Kontaktstopfen 185 und dem ersten Abschnitt 182a der Keimschicht 182' gitterangepasst sein.
  • Eine Verdrahtungsleitung 190' kann eine Verdrahtungsleitung-Keimschicht 192' in einem Teilbereich der Oberseite des Kontaktstopfens 185, und das Füllmetall 195 auf der Verdrahtungsleitung-Keimschicht 192' umfassen. Die Verdrahtungsleitung-Keimschicht 192' kann ein kristallines, leitfähiges Material umfassen, das Füllmetall 195 kann von der Oberfläche der Verdrahtungsleitung-Keimschicht 192' gewachsen sein und weist eine Kristallorientierung gemäß der Kristallorientierung der Keimschicht 192' auf. Zwei Materialien können im Wesentlichen aneinander an einer Grenzfläche zwischen dem Kontaktstopfen 185 und der Keimschicht 192' gitterangepasst sein.
  • Von daher können der Kontaktstopfen 185 und das Füllmetall 195 eine relativ große Korngröße aufweisen, wodurch jeweils eine Niedrigwiderstand-Kontaktstruktur bzw. Kontaktstruktur geringen Widerstands und eine Verdrahtungsstruktur bereitgestellt werden.
  • Die vorstehend beschriebenen Verdrahtungsleitungen 190 und 190' können ebenfalls auf einen anderen Typ von Halbleitervorrichtung angewandt werden. Zum Beispiel wurde der Transistor vom Lamellen-Typ (FinFET) einschließlich des Kanalbereichs vom Lamellen-Typ, wie in den 2A bis 2C dargestellt, als die Halbleitervorrichtung gemäß einer Beispielausführungsform beschrieben, aber die Halbleitervorrichtung ist nicht darauf beschränkt. Die Halbleitervorrichtung gemäß einigen Beispielausführungsformen kann einen Tunnel-Feldeffekt-Transistor (FET), einen Transistor, welcher eine Nanoverdrahtung bzw. Nanoleitung umfasst, einen Transistor, welcher ein Nanosheet (das heißt, Multi-Bridge-Channel FET (MBCFET) (eingetragene Handelsmarke)) enthält, oder verschiedene dreidimensionale (3D) Transistoren umfassen.
  • 10 ist eine Querschnittsansicht, welche einen Transistor darstellt, welcher ein Nanosheet bzw. Nanoblatt (ein N-MOSFET) als eine Halbleitervorrichtung gemäß einer Beispielausführungsform umfasst.
  • Bezugnehmend auf 10 kann verstanden werden, dass eine Halbleitervorrichtung 100A ähnlich zur in den 1 bis 5 dargestellten Halbleitervorrichtung ist, außer, dass eine Struktur, welche dem Aktivbereich 105 vom Lamellen-Typ der vorstehend beschriebenen Beispielausführungsform entspricht, eine Mehrfachkanal-Struktur unter Verwendung eines Nanosheets ist. Ferner können die Komponenten der vorliegenden Beispielausführungsform mit Bezug zu einer Beschreibung derselben oder ähnlichen Komponenten der Beispielausführungsform, welche in den 1 bis 5 dargestellt sind, verstanden werden, soweit es nicht ausdrücklich anders angegeben ist.
  • Wie in 10 dargestellt, kann die Halbleitervorrichtung 100A eine Mehrzahl an Kanalschichten CL umfassen, welche auf einem aktiven Bereich AR sind, um voneinander in der dritten Richtung (der Z-Richtung von 1) senkrecht zu einer Oberseite eines Substrats 101 beabstandet zu sein, und sind jeweils in einer Nanosheet-Struktur gebildet, und Gate-Elektroden 145, welche die Mehrzahl an Kanalschichten CL umgeben und in der zweiten Richtung (der Y-Richtung von 1) erstreckend sich in der dritten Richtung (der Z-Richtung von 1) schneiden. Von daher können die Gate-Elektroden 145 zwischen den Gate-Abstandshaltern 141, und zwischen der Mehrzahl an Kanalschichten CL angeordnet bzw. eingeschoben sein.
  • Die Halbleitervorrichtung 100A kann Source-/Drainbereiche 110 in Abschnitten des Aktivbereichs AR umfassen, welche auf entgegengesetzten bzw. gegenüberliegenden Seiten der Gate-Elektrode 145 angeordnet sind, wobei die Source-/Drainbereiche 110 mit der Mehrzahl an Kanalschichten CL verbunden sind. In der vorliegenden Beispielausführungsform können die Source-/Drainbereiche 110 in Aktivbereiche 105 vom Lamellen-Typ sein, welche auf gegenüberliegenden Seite der Gate-Elektrode 145 angeordnet sind, und können jeweils mit gegenüberliegenden Seiten der Mehrzahl der Kanalschichten CL in der ersten Richtung (zum Beispiel, die X-Richtung) verbunden sein. In der vorliegenden Beispielausführungsform ist ein Fall, in welchem die Anzahl an Kanalschichten CL drei ist, dargestellt, aber die Anzahl an Kanalschichten CL ist nicht insbesondere darauf beschränkt. Die Kanalschichten CL können Halbleitermuster umfassen. Zum Beispiel können die Halbleitermuster von zumindest einem aus Silizium (Si), Silizium-Germanium (SiGe), oder Germanium (Ge) gebildet sein.
  • Der Source-/Drainbereich 110 kann einen epitaktisch nachgewachsenen Bereich umfassen, welcher unter Verwendung der Mehrzahl an Kanalschichten CL und dem Aktivbereich AR als Keim gebildet wurde. Der Source-/Drainbereich 110 kann aus Silizium (Si) gebildet sein, und kann mit einer Verunreinigung vom N-Typ (zum Beispiel Phosphor (P), Stickstoff (N), Arsen (As), oder Antimon (Sb)) dotiert sein.
  • Die Halbleitervorrichtung 100A gemäß der vorliegenden Beispielausführungsform kann innere bzw. interne Abstandshalter IS umfassen, welche zwischen den jeweiligen Source-/Drainbereichen 110 und den Gate-Elektroden 145 angeordnet sind. Die inneren bzw. internen Abstandshalter IS können auf einer Seite der Gate-Elektroden 145 angeordnet sein. Die inneren bzw. internen Abstandshalter IS und die Kanalschichten CL können abwechselnd entlang der dritten Richtung angeordnet sein. Ein jeder der Source-/Drainbereiche 110 kann in Kontakt mit den Kanalschichten CL sein, und kann im Abstand bzw. beabstandet von den Gate-Elektroden 145 sein, während sie die inneren bzw. internen Abstandshalter IS, welche dazwischen eingeschoben bzw. angeordnet sind, aufweisen. Eine Gate-Isolierschicht 142 kann zwischen der Gate-Elektrode 145 und jeder Kanalschicht CL eingeschoben bzw. angeordnet sein, und kann sich zwischen der Gate-Elektrode 145 und jedem inneren Abstandshalter IS erstrecken.
  • Der Source-/Drainbereich 110 kann drei epitaktisch nachgewachsene Bereiche umfassen, welche kombiniert werden, und ein freigelegter bzw. ausgesparter Bereich 110R kann in einer Oberseite des Source-/Drainbereichs 110 gebildet sein, welcher mit einem Kontaktloch verbunden ist. Ein Metallsilizid-Film 120 ist bzw. befindet sich entlang der Oberfläche des ausgesparten Bereichs 110R des Source-/Drainbereichs 110. Ähnlich zur vorstehend beschriebenen Beispielausführungsform kann eine erste Kontaktstruktur CS1 eine Keimschicht 182 auf dem Metallsilizid-Film 120 und einem Kontaktstopfen 185 auf der Keimschicht 182 umfassen.
  • Jeweils die Keimschicht 182 und der Kontaktstopfen 185 können ein polykristallines Metall umfassen. Die Keimschicht 182 und der Kontaktstopfen 185 können jeweils ein erstes kristallines Metall und eine zweites kristallines Metall enthalten. Die Kristallorientierung des zweiten kristallinen Metalls hängt von der Kristallorientierung des ersten kristallinen Metalls ab, was die Keimschicht 182 ist, und das zweite kristalline Metall kann auf dem ersten kristallinen Metall, z.B. durch epitaktisches Wachstum, abgeschieden werden. Das zweite kristalline Metall kann im Wesentlichen an ein Kristallgitter des ersten kristallinen Metalls an einer Grenzfläche zwischen der Keimschicht 182 und dem Kontaktstopfen 185 gitterangepasst sein.
  • In einer Beispielausführungsform kann das erste und das zweite kristalline Metall dieselbe Kristallstruktur aufweisen (zum Beispiel, eine kubisch-raumzentrierte Struktur (BCC). Ferner können die Gitterkonstanten von dem ersten und dem zweiten kristallinen Metall im Wesentlichen dieselben sein, oder eine Differenz zwischen den Gitterkonstanten von dem ersten und dem zweiten kristallinen Metall kann signifikant klein sein. Zum Beispiel kann die Differenz zwischen den Gitterkonstanten α1 und α2 von dem ersten und dem zweiten kristallinen Metall kleiner als 1 % sein. In einer Beispielausführungsform kann das eine von der Keimschicht 182 und dem Kontaktstopfen 185 Wolfram (W) enthalten und das andere von der Keimschicht 182 und dem Kontaktstopfen 185 kann Molybdän (Mo) enthalten. Deshalb können Körner des Kontaktstopfens 185 eine erhöhte Größe gemäß einer Korngröße der Keimschicht 182 aufweisen. Wenn die Korngröße zunimmt, kann der Kontaktstopfen 185, welcher einen geringen Widerstand aufweist, bereitgestellt werden. Obwohl es nicht dargestellt ist, kann eine zweite Kontaktstruktur, welche mit der Gate-Elektrode 145 verbunden ist, als eine Kontaktstruktur geringen Widerstands, wie die erste Kontaktstruktur CS1, implementiert werden.
  • Eine Verdrahtungsleitung 190 auf der ersten Kontaktstruktur CS1 kann eine Verdrahtungsleitung-Keimschicht 192 und ein Füllmetall 195 auf der Verdrahtungsleitung-Keimschicht 192 umfassen. Wie die vorstehend beschriebene erste Kontaktstruktur CS1 können die Kristallgitter der Körner der Verdrahtungsleitung-Keimschicht 192 und benachbarte Körner des Füllmetalls 195 im Wesentlichen aneinander angepasst sein, um dadurch die Verdrahtungsleitung 190, welche einen geringen Widerstand aufweist, zu implementieren.
  • 11 ist eine Querschnittsansicht, welche einen Transistor einschließlich eines Nanosheets (ein P-MOSFET) als eine Halbleitervorrichtung gemäß einer Beispielausführungsform darstellt.
  • Bezugnehmend auf 11 weist die Halbleitervorrichtung 100B eine MehrfachKanal-Struktur unter Verwendung eines Nanosheets, wie die in 10 dargestellte Beispielausführungsform auf, und wird durch ein P-MOSFET implementiert. Ähnlich zur vorstehend beschriebenen Beispielausführungsform kann verstanden werden, dass die Halbleitervorrichtung 100B gemäß der vorliegenden Beispielausführungsform ähnlich zu den in den 1 bis 5 und 10 dargestellten Halbleitervorrichtungen ist. Ferner können die Komponenten der vorliegenden Beispielausführungsform mit Bezug zu einer Beschreibung derselben oder ähnlichen Komponenten der Beispielausführungsformen, welche in den 1 bis 5 und 10 dargestellt sind, verstanden werden, sofern es nicht anders ausdrücklich angegeben ist.
  • Bezugnehmend auf 11 kann die Halbleitervorrichtung 100B gemäß der vorliegenden Beispielausführungsform eine Mehrzahl an Kanalschichten CL umfassen, welche auf einem Aktivbereich AR sind, um in der dritten Richtung (die Z-Richtung von 1) senkrecht zu einer Oberseite eines Substrats 1010 voneinander in Abstand bzw. beabstandet zu sein und sind jeweils in einer Nanosheet-Struktur gebildet, und Gate-Elektroden 145, welche die Mehrzahl an Kanalschichten CL umgeben und sich in der zweiten Richtung (die Y-Richtung von 1) erstreckend in der ersten Richtung (die Z-Richtung von 1) schneiden, wie die vorstehend beschriebene Beispielausführungsform (10). Ferner kann die Gate-Elektrode 145 zwischen den Gate-Abstandshaltern 141, und zwischen der Mehrzahl an Kanalschichten CL angeordnet sein.
  • Die Halbleitervorrichtung 100B kann Source-/Drainbereiche 110' in Abschnitten des Aktivbereichs AR, welche auf gegenüberliegenden Seiten der Gate-Elektrode 145110 angeordnet sind, umfassen, wobei die Source-/Drainbereiche 110' mit der Mehrzahl an Kanalschichten CL verbunden sind. Die Source-/Drainbereiche 110' sind in Aktivbereiche 105 vom Lamellen-Typ, welche auf gegenüberliegenden Seiten der Gate-Elektrode 145 angeordnet sind, und können jeweils mit gegenüberliegenden Seiten der Mehrzahl an Kanalschichten CL in der ersten Richtung (zum Beispiel, die X-Richtung) verbunden sein. Der Source-/Drainbereich 110' kann eine Epitaxieschicht umfassen, welche unter Verwendung der Mehrzahl an Kanalschichten CL und des Aktivbereichs AR als Saat gebildet ist. Im Gegensatz zu der vorstehend beschriebenen Beispielausführungsform (10), kann der Source-/Drainbereich 110' aus Silizium-Germanium (SiGe) gebildet sein und kann mit einer Verunreinigung vom P-Typ dotiert sein (zum Beispiel, Bor (B), Indium (In), Gallium (Ga), Bortrifluorid (BF3)).
  • Anders als die vorstehend beschriebene Beispielausführungsform können in der Halbleitervorrichtung 100B gemäß der vorliegenden Beispielausführungsform der Source-/Drainbereich 110' und die Gate-Elektrode 145 in direktem Kontakt miteinander sein, ohne die inneren bzw. internen Abstandshalter IS.
  • Der Source-/Drainbereich 110' kann drei epitaktisch nachgewachsene Bereiche (ein Querschnitt in der Y-Richtung kann eine pentagonale bzw. fünfeckige Gestalt aufweisen), welche kombiniert werden, umfassen, und ein Metallsilizid-Film 120' kann entlang einer eingedrückten bzw. eingebuchteten Kristallebene bzw. Kristallfläche des Source-/Drainbereichs 110' gebildet sein. Eine erste Kontaktstruktur CS 1' kann eine Keimschicht 182' auf dem Metallsilizid-Film 120', und einen Kontaktstopfen 185 auf der Keimschicht 182' umfassen.
  • Jeweils die Keimschicht 182' und der Kontaktstopfen 185 können ein polykristallines Metall umfassen. Die Keimschicht 182' und der Kontaktstopfen 185 können jeweils ein erstes kristallines Metall und ein zweites kristallines Metall umfassen. Die Kristallorientierung des zweiten kristallinen Metalls hängt von der Kristallorientierung des ersten kristallinen Metalls ab, was die Keimschicht 182' ist, und das zweite kristalline Metall kann auf dem ersten kristallinen Metall abgeschieden werden, wie z.B. durch epitaktisches Wachstum. Insbesondere kann ein Kristallgitter des zweiten kristallinen Metalls, welches zu einer Grenzfläche zwischen der Keimschicht 182' und dem Kontaktstopfen 185 benachbart ist, an ein Kristallgitter des ersten kristallinen Metalls angepasst sein bzw. werden. In einem derartigen Anpassungsprozess können Körner des Kontaktstopfens 185 eine zunehmende Größe gemäß einer Korngröße der Keimschicht 182' aufweisen. Wenn die Korngröße zunimmt, kann der Kontaktstopfen 185, welcher einen geringen Widerstand aufweist, bereitgestellt werden. Obwohl es nicht dargestellt ist, kann eine zweite Kontaktstruktur, welche mit der Gate-Elektrode 145 verbunden ist, in einer ähnlichen Weise implementiert werden.
  • In einer Beispielausführungsform kann eine Verdrahtungsleitung 190 auf der ersten Kontaktstruktur CS1 eine Verdrahtungsleitung-Keimschicht 192 und ein Füllmetall 195 auf der Verdrahtungsleitung-Keimschicht 192 umfassen. Wie die vorstehend beschriebene erste Kontaktstruktur CS1 können die Kristallgitter der Körner der Verdrahtungsleitung-Keimschicht 192 und benachbarte Körper des Füllmetalls 195 im Wesentlichen einander angepasst sein, um die Verdrahtungsleitung 190, welche einen geringen Widerstand aufweist, zu implementieren.
  • 12 ist eine Querschnittsansicht, welche eine Halbleitervorrichtung gemäß einer Beispielausführungsform darstellt.
  • Eine in 12 dargestellte Halbleitervorrichtung 200 kann ein Substrat 211 umfassen, welches einen Kontaktbereich, eine erste Zwischenschicht-Isolierschicht 212 auf dem Substrat 211 aufweist, und ein erstes Kontaktloch VH1, welches mit einem Kontaktbereich CA verbunden ist, und eine Kontaktstruktur 230 auf dem Kontaktbereich im ersten Kontaktloch VH1 aufweist.
  • Die Kontaktstruktur 230 kann eine erste Keimschicht 232, welche aus einem ersten kristallinen Metall gebildet ist, und ein erstes Kontaktmetall 235 auf der ersten Keimschicht 232, welches das erste Kontaktloch VH1 füllt, und aus dem zweiten kristallinen Metall gebildet ist, umfassen. Das zweite kristalline Metall kann im Wesentlichen auf das erste kristalline Metall an einer Grenzfläche zwischen dem ersten kristallinen Metall und dem zweiten kristallinen Metall gitterangepasst sein. In der vorliegenden Beispielausführungsform ist ein Fall, in welchen ein Teilbereich einer leitfähigen Leitung 220 als der Kontaktbereich CA bereitgestellt ist, dargestellt. Der Kontaktbereich CA kann jedoch ein Aktivbereich, wie der Source-/Drainbereich, oder ein Metallsilizid-Film, wie die vorstehend beschriebene Beispielausführungsform sein.
  • Die Halbleitervorrichtung 200 gemäß der vorliegenden Beispielausführungsform umfasst einen Ätzstoppfilm 215 auf der ersten Zwischenschicht-Isolierschicht 212, einer zweiten Zwischenschicht-Isolierschicht 213 auf dem Ätzstoppfilm 215 und weist ein zweites Kontaktloch VH2, welches mit der Kontaktstruktur 230 verbunden ist, und eine elektrische Leitung 250 in der zweiten Zwischenschicht-Isolierschicht 213 auf, und weist eine Metall-Durchkontaktierung VM, welche mit der Kontaktstruktur 230 durch das zweite Kontaktloch VH2 verbunden ist, auf.
  • Die Verdrahtungsleitung 250 kann eine zweite Keimschicht 252, welche aus einem dritten kristallinen Metall gebildet ist, und ein zweites Kontaktmetall 255 auf der zweiten Keimschicht 252, welches das zweite Kontaktloch VH2 füllt, und aus einem vierten kristallinen Metall gebildet ist, umfassen. Das vierte kristalline Metall kann im Wesentlichen an das dritte kristalline Metall an einer Grenzfläche zwischen dem dritten kristallinen Metall und dem vierten kristallinen Metall gitterangepasst sein.
  • Von daher können das dritte und das vierte kristalline Metall dieselbe Kristallstruktur aufweisen, welche für eine Gitteranpassung geeignet ist, und eine Differenz zwischen Gitterkonstanten des dritten und des vierten kristallinen Metalls kann weniger als 1 % sein. Das zweite und das vierte kristalline Metall können relativ größere Korngrößen aufweisen (zum Beispiel, 7 nm oder mehr) im Vergleich zu denen des ersten und des dritten kristallinen Metalls, und somit können sich elektrische Widerstände der Kontaktstruktur 230 und der elektrischen Leitung 250 verringern.
  • In einer Beispielausführungsform kann das erste kristalline Metall dasselbe wie das dritte kristalline Metall sein, und das zweite kristalline Metall kann dasselbe wie das vierte kristalline Metall sein. Zum Beispiel können das erste und das dritte kristalline Metall Wolfram (W) sein, und das zweite und das vierte kristalline Metall können Molybdän (Mo) sein.
  • Als Zusammenfassung und im Überblick können aufgrund einer Verringerung in einer kritischen Dimension (CD) eines Kontakts, der Kontaktwiderstand zunehmen und verschiede Defekte resultieren.
  • Wie vorstehend ausgeführt, können Ausführungsformen eine Halbleitervorrichtung mit einer ausgezeichneten Verlässlichkeit bereitstellen. Wie vorstehend ausgeführt, kann gemäß einer Beispielausführungsform die Keimschicht in jeden Bereichen, welche auf den Unterseiten der ersten und der zweiten Kontaktstruktur angeordnet sind, gebildet werden, um Bindungsstärke bzw. Bindungsfestigkeit des Kontaktstopfens zu verbessern.
  • Folglich kann der Kontaktstopfen wirksam vor dem Herunterfallen während des chemisch-mechanischen Bearbeitens (CMP) bewahrt werden. Ferner wird der Kontaktstopfen gebildet, um ein Kristallgitter aufzuweisen, welches an ein Kristallgitter der Keimschicht angepasst wurde, sodass eine Korngröße des Kontaktstopfens zunehmen kann, wobei ein Kontaktwiderstand stark sinkt bzw. abnimmt.
  • Beispielausführungsformen wurden hierin offenbart, und obwohl spezifische Begriffe bzw. Ausdrücke verwendet werden, werden sie nicht hinsichtlich des Zwecks der Beschränkung verwendet und sollen lediglich in einem generischen und beschreibenden Sinn interpretiert werden. In einigen Beispielen können, wie es für einen Fachmann der vorliegenden Anmeldung ersichtlich ist, Merkmale, Eigenschaften, und/oder Elemente, welche in Zusammenhang mit einer bestimmten Ausführungsform beschrieben werden, einzeln oder in Kombination mit Merkmalen, Eigenschaften, und/oder Elementen, welche in Zusammenhang mit anderen Ausführungsformen beschrieben werden, verwendet werden, sofern es nicht anders ausdrücklich angegeben ist. Dementsprechend wird vom Fachmann verstanden, dass verschiedene Änderung der Form und Details gemacht werden können, ohne vom Umfange der vorliegenden Erfindung, wie in den nachstehenden Ansprüchen ausgeführt, abzuweichen.

Claims (20)

  1. Halbleitervorrichtung, umfassend: ein Aktivbereich (105) vom Lamellen-Typ, welcher sich auf einem Substrat (101) in einer ersten Richtung erstreckt, welche parallel zu einer Oberseite des Substrats (101) ist; eine Gate-Struktur (GS), welche sich über den Aktivbereich (105) vom Lamellen-Typ in einer zweiten Richtung, welche parallel zur Oberseite des Substrats (101) ist und sich von der ersten Richtung unterscheidet, erstreckt; ein Source-/Drainbereich (110) im Aktivbereich (105) vom Lamellen-Typ auf einer Seite der Gate-Struktur (GS); einen Isolierabschnitt (160), welcher die Gate-Struktur (GS) und den Source-/ Drainbereich (110) bedeckt; eine erste Kontaktstruktur (CS1, CS1', CS1"), welche durch den Isolierabschnitt (160) dringt und mit dem Source-/Drainbereich (110) verbunden ist; und eine zweite Kontaktstruktur (CS2, CS2'), welche durch den Isolierabschnitt (160) dringt und mit der Gate-Struktur (GS) verbunden ist, wobei: mindestens eine von der ersten und der zweiten Kontaktstruktur (CS1, CS1', CS1", CS2, CS2') eine Keimschicht (182, 182', 182") umfasst, auf mindestens einem von der Gate-Struktur (GS) und dem Source-/Drainbereich (110) und umfassend ein erstes kristallines Metall, und einen Kontaktstopfen (185) umfasst, auf der Keimschicht (182, 182', 182") und umfassend ein zweites kristallines Metall, welches sich von dem ersten kristallinen Metall unterscheidet, und das zweite kristalline Metall im Wesentlichen gitterangepasst ist an das erste kristalline Metall an der Grenzfläche zwischen der Keimschicht (182, 182', 182") und dem Kontaktstopfen (185).
  2. Halbleitervorrichtung nach Anspruch 1, wobei das erste und das zweite kristalline Metall dieselbe Kristallstruktur aufweisen.
  3. Halbleitervorrichtung nach Anspruch 2, wobei eine Differenz zwischen den Gitterkonstanten des ersten und des zweiten kristallinen Metalls weniger als 1 % ist.
  4. Halbleitervorrichtung nach einem der Ansprüche 1 bis 3, wobei eines des ersten und des zweiten kristallinen Metalls Wolfram ist, und das andere des ersten und des zweiten kristallinen Metalls Molybdän ist.
  5. Halbleitervorrichtung nach einem der Ansprüche 1 bis 4, wobei eine untere Breite (W) von der mindestens einen der ersten und der zweiten Kontaktstrukturen (CS 1, CS1', CS1", CS2, CS2') 20 nm oder weniger ist.
  6. Halbleitervorrichtung nach Anspruch 5, wobei die Keimschicht (182, 182', 182") eine Dicke von 5 nm oder weniger aufweist.
  7. Halbleitervorrichtung nach einem der Ansprüche 1 bis 6, wobei die Keimschicht (182, 182', 182") auf einem Boden der mindestens einen der ersten und der zweiten Kontaktstruktur (CS1, CS1', CS1", CS2, CS2') ist.
  8. Halbleitervorrichtung nach Anspruch 7, wobei der Kontaktstopfen (185) in direktem Kontakt mit dem Isolierabschnitt (160) an einer Seitenwand der mindestens einen der ersten und der zweiten Kontaktstruktur (CS1, CS1', CS1", CS2, CS2') ist.
  9. Halbleitervorrichtung nach Anspruch 8, wobei die Keimschicht (182') einen Erweiterungsabschnitt (182E) aufweist, welcher sich auf dem Isolierabschnitt (160) an der Seitenwand der mindestens einen der ersten und der zweiten Kontaktstruktur (CS1') erstreckt.
  10. Halbleitervorrichtung nach Anspruch 9, wobei ein Abschnitt der Keimschicht (182'), welcher auf dem Boden angeordnet ist, einen monokristallinen oder polykristallinen Abschnitt umfasst, und der Erweiterungsabschnitt (182E) der Keimschicht (182') einen amorphen Abschnitt umfasst.
  11. Halbleitervorrichtung nach einem der Ansprüche 1 bis 10, wobei die mindestens eine der ersten und der zweiten Kontaktstruktur (CS1, CS1', CS1", CS2, CS2') die zweite Kontaktstruktur (CS2, CS2') umfasst, die Gate-Struktur (GS) eine Gate-Elektrode (145) umfasst, und die Keimschicht (182, 182', 182") auf der Gate-Elektrode (145) ist.
  12. Halbleitervorrichtung nach einem der Ansprüche 1 bis 11, ferner umfassend: eine Zwischenschicht-Isolierschicht (172) auf dem Isolierabschnitt (160); und eine Metallleitung (190, 190'), welche eine Metall-Durchkontaktierung (VM) umfasst, welche durch die Zwischenschicht-Isolierschicht (172) dringt.
  13. Halbleitervorrichtung nach Anspruch 12, wobei: die Metallleitung (190, 190') umfasst: eine zusätzliche Keimschicht (192, 192') an der Metall-Durchkontaktierung (VM) und an der mindestens einen der ersten und der zweiten Kontaktstruktur (CS1, CS1', CS1", CS2, CS2'), und welche ein drittes kristallines Metall umfasst; und ein Füllmetall (195) auf der zusätzlichen Keimschicht (192, 192'), und welches ein viertes kristallines Metall, welches sich vom dritten kristallinen Metall unterscheidet, umfasst, und das vierte kristalline Metall im Wesentlichen gitterangepasst ist an das dritte kristalline Metall an der Grenzfläche zwischen der zusätzlichen Keimschicht (192, 192') und dem Füllmetall (195).
  14. Halbleitervorrichtung, umfassend: ein Substrat (211), welches einen Kontaktbereich (CA) aufweist; eine erste Isolierschicht (212) auf dem Substrat (211), und welches ein erstes Kontaktloch (VH1), welches mit dem Kontaktbereich (CA) verbunden ist, aufweist; eine erste Keimschicht (232) auf dem Kontaktbereich (CA) im ersten Kontaktloch (VH1), und welches ein erstes kristallines Metall umfasst; und ein erstes Kontaktmetall (235) auf der ersten Keimschicht (232), welches das erste Kontaktloch (VH1) füllt, und ein zweites kristallines Metall umfasst, wobei das zweite kristalline Metall im Wesentlichen gitterangepasst ist an das erste kristalline Metall an der Grenzfläche zwischen dem ersten und dem zweiten kristallinen Metall.
  15. Halbleitervorrichtung nach Anspruch 14, wobei das erste und das zweite kristalline Metall dieselbe Kristallstruktur aufweisen, und eine Differenz zwischen den Gitterkonstanten des ersten und des zweiten Metalls weniger als 1 % ist.
  16. Halbleitervorrichtung nach Anspruch 15, wobei eine Korngröße des zweiten kristallinen Metalls 7 nm oder mehr ist.
  17. Halbleitervorrichtung nach einem der Ansprüche 14 bis 16, ferner umfassend: eine zweite Isolierschicht (213), auf der ersten Isolierschicht (212) und aufweisend ein zweites Kontaktloch (VH2), welches mit dem ersten Kontaktmetall (235); eine zweite Keimschicht (252), auf dem ersten Kontaktmetall (235) im zweiten Kontaktloch (VH2) und umfassend ein drittes kristallines Metall; und ein zweites Kontaktmetall (255) auf der zweiten Keimschicht (252), welches das zweite Kontaktloch (VH2) füllt, und welches ein viertes kristallines Metall umfasst, wobei das vierte kristalline Metall im Wesentlich gitterangepasst ist an das dritte kristallinen Metall an der Grenzfläche zwischen dem dritten und dem vierten kristallinen Metall.
  18. Halbleitervorrichtung nach Anspruch 17, wobei das erste kristalline Metall dasselbe Material ist wie das dritte kristalline Metall, und das zweite kristalline Metall ist dasselbe Material wie das vierte kristalline Metall.
  19. Halbleitervorrichtung nach Anspruch 18, wobei das erste und das dritte kristalline Metall Wolfram sind, und das zweite und das vierte kristalline Metall Molybdän sind.
  20. Halbleitervorrichtung, umfassend: ein Aktivbereich (105) vom Lamellen-Typ, welcher sich auf einem Substrat (101) in einer ersten Richtung, parallel zu einer Oberseite des Substrats (101) erstreckt; eine Gate-Struktur (GS), welche sich über den Aktivbereich (105) vom Lamellen-Typ in einer zweiten Richtung, welche parallel zur Oberseite des Substrats (101) ist und sich von der ersten Richtung unterscheidet, erstreckt; ein Source-/Drainbereich (110) im Aktivbereich (105) vom Lamellen-Typ auf einer Seite der Gate-Struktur (GS); eine erste Kontaktstruktur (CS1, CS1', CS1"), welche mit dem Source-/Drainbereich (110) verbunden ist; und eine zweite Kontaktstruktur (CS2, CS2'), welche mit der Gate-Struktur (GS) verbunden ist, wobei: mindestens eine von der ersten und der zweiten Kontaktstruktur (C1, CS1', CS1', C2, CS2') eine Keimschicht (182), auf mindestens einem von der Gate-Struktur (GS) und dem Source-/Drainbereich (110), und einen Kontaktstopfen (185) auf der Keimschicht (182) umfasst die Keimschicht (182) ein erstes kristallines leitfähiges Material umfasst, der Kontaktstopfen (185) ein zweites kristallines, leitfähiges Material umfasst, und das erste und das zweite kristalline, leitfähige Material dieselbe Kristallstruktur aufweisen, und eine Differenz zwischen den Gitterkonstanten des ersten und des zweiten kristallinen, leitfähigen Materials weniger als 1 % ist.
DE102021121297.9A 2020-09-01 2021-08-17 Halbleitervorrichtung Pending DE102021121297A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2020-0111054 2020-09-01
KR1020200111054A KR20220030456A (ko) 2020-09-01 2020-09-01 반도체 장치

Publications (1)

Publication Number Publication Date
DE102021121297A1 true DE102021121297A1 (de) 2022-03-03

Family

ID=80221756

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021121297.9A Pending DE102021121297A1 (de) 2020-09-01 2021-08-17 Halbleitervorrichtung

Country Status (5)

Country Link
US (1) US11631769B2 (de)
KR (1) KR20220030456A (de)
CN (1) CN114121948A (de)
DE (1) DE102021121297A1 (de)
TW (1) TW202230685A (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960008558B1 (en) 1993-03-02 1996-06-28 Samsung Electronics Co Ltd Low resistance contact structure and manufacturing method of high integrated semiconductor device
KR100273767B1 (ko) * 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
KR20010046141A (ko) * 1999-11-10 2001-06-05 구본준 박막 트랜지스터 및 배선 제조방법
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
US8124473B2 (en) * 2007-04-12 2012-02-28 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
JP2009038103A (ja) * 2007-07-31 2009-02-19 Fujitsu Microelectronics Ltd 半導体装置の製造方法と半導体装置
KR101380875B1 (ko) * 2007-11-05 2014-04-03 삼성디스플레이 주식회사 금속 배선 및 그 형성 방법
US20090236627A1 (en) * 2008-03-19 2009-09-24 Jang-Sub Kim Method of forming metal wiring
US8778797B2 (en) * 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US9034760B2 (en) * 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
KR101988522B1 (ko) * 2012-09-11 2019-06-12 엘지디스플레이 주식회사 저저항 배선 형성방법 및 그를 이용한 박막 트랜지스터 제조방법
KR102235614B1 (ko) * 2014-09-17 2021-04-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9685555B2 (en) * 2014-12-29 2017-06-20 Stmicroelectronics, Inc. High-reliability, low-resistance contacts for nanoscale transistors
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
KR20180018510A (ko) * 2015-06-18 2018-02-21 인텔 코포레이션 반도체 구조체들을 위한 금속 피처들의 BUF(Bottom-Up Fill)
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR102593707B1 (ko) * 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
KR102285271B1 (ko) * 2017-04-03 2021-08-03 삼성전자주식회사 반도체 장치
KR102336827B1 (ko) * 2017-06-08 2021-12-09 삼성전자주식회사 반도체 장치
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11444173B2 (en) * 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10629693B2 (en) * 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US10541199B2 (en) * 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10340384B2 (en) * 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
JP7341125B2 (ja) * 2018-04-27 2023-09-08 株式会社半導体エネルギー研究所 半導体装置
US10867848B2 (en) * 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10854716B2 (en) * 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US11342229B2 (en) * 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11342225B2 (en) * 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
KR20210024367A (ko) * 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자
US11158539B2 (en) * 2019-10-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for barrier-less plug
US11088251B2 (en) * 2019-10-01 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
KR20210090768A (ko) * 2020-01-10 2021-07-21 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11302784B2 (en) * 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having contact feature and method of fabricating the same
US11532750B2 (en) * 2020-02-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11393756B2 (en) * 2020-03-16 2022-07-19 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems
KR20210129795A (ko) * 2020-04-20 2021-10-29 삼성전자주식회사 반도체 소자
US11742404B2 (en) * 2020-04-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11616062B2 (en) * 2020-04-30 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation for multigate device
US11569382B2 (en) * 2020-06-15 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11158634B1 (en) * 2020-06-15 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Backside PN junction diode
US11355637B2 (en) * 2020-06-30 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11574870B2 (en) * 2020-08-11 2023-02-07 Micron Technology, Inc. Microelectronic devices including conductive structures, and related methods
KR20220022780A (ko) * 2020-08-19 2022-02-28 삼성전자주식회사 반도체 소자
US11515162B2 (en) * 2020-08-31 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
US11955379B2 (en) * 2020-09-15 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Metal adhesion layer to promote metal plug adhesion
US20220102522A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Low resistance and reduced reactivity approaches for fabricating contacts and the resulting structures
US20220102510A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Dual contact process with stacked metal layers
US11335702B1 (en) * 2020-11-13 2022-05-17 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
US20220069129A1 (en) 2022-03-03
TW202230685A (zh) 2022-08-01
KR20220030456A (ko) 2022-03-11
US11631769B2 (en) 2023-04-18
CN114121948A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
DE102016106969B4 (de) Halbleitervorrichtung
DE102017123958B4 (de) Halbleitervorrichtung
DE102015109820B4 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102019200725B4 (de) FinFET mit High-k-Abstandshalter und selbstausgerichteter Kontaktdeckschicht
DE112016003961T5 (de) Herstellung von vertikalen Transistoren und Einheiten
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102019206143B4 (de) Verfahren zum erhöhen der effektiven gatehöhe
DE102019116998B4 (de) Leitfähiger kontakt mit treppenartigen barriereschichten
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102021107089A1 (de) Kondensator, speicherbauelement und verfahren
DE102021121297A1 (de) Halbleitervorrichtung
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102020114655B4 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE112019006336T5 (de) Halbleitervorrichtung, herstellungsverfahren davon und elektronisches gerät
DE102023101602A1 (de) Metallgatestruktur und verfahren zu deren herstellung
DE102021102596B4 (de) Halbleitervorrichtung und verfahren
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102019121270B4 (de) Bildungsverfahren einer Halbleitervorrichtung mit Finnenstrukturen
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben
DE102021104070A1 (de) Halbleiterchip
DE102021105456A1 (de) Halbleitervorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed