DE102022100084A1 - Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung - Google Patents

Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung Download PDF

Info

Publication number
DE102022100084A1
DE102022100084A1 DE102022100084.2A DE102022100084A DE102022100084A1 DE 102022100084 A1 DE102022100084 A1 DE 102022100084A1 DE 102022100084 A DE102022100084 A DE 102022100084A DE 102022100084 A1 DE102022100084 A1 DE 102022100084A1
Authority
DE
Germany
Prior art keywords
metal oxide
semiconducting metal
oxide liner
gate
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022100084.2A
Other languages
English (en)
Inventor
Mauricio Manfrini
Marcus Johannes Henricus van Dal
Georgios Vellianitis
Gerben Doornbos
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022100084A1 publication Critical patent/DE102022100084A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • H01L21/443Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78636Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with supplementary region or layer for improving the flatness of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Infusion, Injection, And Reservoir Apparatuses (AREA)

Abstract

Ein Transistor kann durch Bilden, in einer Vorwärtsreihenfolge oder in einer Rückwärtsreihenfolge, einer Gate-Elektrode, einer halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht über einem Substrat und durch Bilden einer Source-Elektrode und einer Drain-Elektrode auf Endabschnitten der aktiven Schicht bereitgestellt werden. Die halbleitende Metalloxidauskleidung umfasst ein dünnes halbleitendes Metalloxidmaterial, das als ein Wasserstoffsperrmaterial funktioniert.

Description

  • VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung mit der Serien-Nr. 63/189,945 mit dem Titel „Barrier layer for work function engineering in TFTs“, eingereicht am 18. Mai 2021, deren Inhalte durch Bezugnahme in ihrer Gesamtheit hierin aufgenommen werden.
  • HINTERGRUND
  • Es wurde eine Vielzahl von Transistorstrukturen entwickelt, um verschiedene Designkriterien zu erfüllen. Aus Oxidhalbleitern hergestellte Dünnschichttransistoren (TFT) sind eine attraktive Option für die Back-End-of-Line-Integration (BEOL-Integration), da TFTs bei niedrigen Temperaturen verarbeitet werden können und somit zuvor gefertigte Vorrichtungen nicht beschädigen. Die Fertigungsbedingungen und -techniken beschädigen beispielsweise keine zuvor gefertigten Front-End-of-Line-(FEOL) und Middle-End-of-Line- (MEOL) Vorrichtungen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten aus der nachfolgenden ausführlichen Beschreibung verstehen, wenn sie mit den beigefügten Figuren gelesen wird. Es wird darauf hingewiesen, dass, in Übereinstimmung mit den Standardpraktiken in der Branche, diverse Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist eine vertikale Querschnittsansicht einer ersten beispielhaften Struktur nach Bildung komplementärer Metalloxidhalbleitertransistoren (CMOS-Transistoren), erster Metallverbindungsstrukturen, die in dielektrischen Materialschichten unterer Ebene gebildet sind, und einer dielektrischen Isolierschicht, gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 2A ist eine Draufsicht auf einen Bereich der ersten beispielhaften Struktur nach Bildung einer Körpervorspannungsleitung gemäß einer ersten Ausführungsform der vorliegenden Offenbarung.
    • 2B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 2A.
    • 2C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 2A.
    • 3A ist eine Draufsicht des Bereichs der ersten beispielhaften Struktur nach Bildung einer Isolierschicht und eines Körperkontakthohlraums gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 3B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 3A.
    • 3C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 3A.
    • 4A ist eine Draufsicht des Bereichs der ersten beispielhaften Struktur nach Bildung einer Körperkontakt-Durchkontaktierungsstruktur gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 4B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 4A.
    • 4C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 4A.
    • 5A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung einer durchgängigen aktiven Schicht und einer oberen dielektrischen Gate-Schicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 5B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 5A.
    • 5C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 5A.
    • 6A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung eines Stapels aus einer oberen dielektrischen Gate- und einer aktiven Schicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 6B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 6A.
    • 6C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 6A.
    • 7A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung einer dielektrischen Schicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 7B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 7A.
    • 7C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 7A.
    • 8A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung eines Source-Hohlraums und eines Drain-Hohlraums gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 8B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 8A.
    • 8C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 8A.
    • 9A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung einer Source-Elektrode und einer Drain-Elektrode gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 9B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 9A.
    • 9C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 9A.
    • 10A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung eines Gate-Hohlraums gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 10B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 10A.
    • 10C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 10A.
    • 11A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung einer durchgängigen halbleitenden Metalloxidauskleidung gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 11B ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 11A.
    • 11C ist eine vertikale Querschnittsansicht der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 11A.
    • 12A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung einer konformen halbleitenden Metalloxidauskleidung und einer Gate-Elektrode gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 12B ist eine vertikale Querschnittsansicht der alternativen Konfiguration der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 12A.
    • 12C ist eine vertikale Querschnittsansicht der alternativen Konfiguration der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 12A.
    • 13A ist eine Draufsicht auf den Bereich der ersten beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
    • 13B ist eine vertikale Querschnittsansicht der alternativen Konfiguration der ersten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 13A.
    • 13C ist eine vertikale Querschnittsansicht der alternativen Konfiguration der ersten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 13A.
    • 14A ist eine Draufsicht eines Abschnitts eines Bereichs einer zweiten beispielhaften Struktur gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung.
    • 14B ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 14A.
    • 14C ist eine vertikale Querschnittsansicht der zweiten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 14A.
    • 15A ist eine Draufsicht auf einen Abschnitt eines Bereichs einer dritten beispielhaften Struktur nach Bildung einer Wortleitung, einer Isolierschicht, eines Gate-Hohlraums und einer durchgängigen halbleitenden Metalloxidauskleidung gemäß einer dritten Ausführungsform der vorliegenden Offenbarung.
    • 15B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 15A.
    • 15C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 15A.
    • 16A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung einer Gate-Elektrode gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 16B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 16A.
    • 16C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 16A.
    • 17A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung einer planaren halbleitenden Metalloxidauskleidung, einer dielektrischen Gate-Schicht und einer durchgängigen aktiven Schicht gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 17B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 17A.
    • 17C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 17A.
    • 18A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung eines Stapels aus einer planaren halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 18B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 18A.
    • 18C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 18A.
    • 19A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung einer dielektrischen Schicht gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 19B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 19A.
    • 19C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 19A.
    • 20A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung eines Source-Hohlraums und eines Drain-Hohlraums gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 20B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 20A.
    • 20C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 20A.
    • 21A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung einer Source-Elektrode und einer Drain-Elektrode gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 21B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 21A.
    • 21C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 21A.
    • 22A ist eine Draufsicht auf den Bereich der dritten beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der dritten Ausführungsform der vorliegenden Offenbarung.
    • 22B ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 22A.
    • 22C ist eine vertikale Querschnittsansicht der dritten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 22A.
    • 23A ist eine Draufsicht auf den Abschnitt des Bereichs einer vierten beispielhaften Struktur nach Bildung einer planaren halbleitenden Metalloxidauskleidung gemäß einer vierten Ausführungsform der vorliegenden Offenbarung.
    • 23B ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 23A.
    • 23C ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 23A.
    • 24A ist eine Draufsicht auf den Bereich der vierten beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der vierten Ausführungsform der vorliegenden Offenbarung.
    • 24B ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 24A.
    • 24C ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 24A.
    • 25A ist eine Draufsicht auf einen Abschnitt eines Bereichs einer alternativen Konfiguration der vierten beispielhaften Struktur nach Bildung eines Stapels aus einer planaren halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht gemäß der vierten Ausführungsform der vorliegenden Offenbarung.
    • 25B ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 25A.
    • 25C ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 25A.
    • 26A ist eine Draufsicht auf den Bereich der alternativen Konfiguration der vierten beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der vierten Ausführungsform der vorliegenden Offenbarung.
    • 26B ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 26A.
    • 26C ist eine vertikale Querschnittsansicht der vierten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 26A.
    • 27A ist eine Draufsicht auf den Abschnitt des Bereichs einer fünften beispielhaften Struktur nach Bildung einer röhrenförmigen halbleitenden Metalloxidauskleidung gemäß einer fünften Ausführungsform der vorliegenden Offenbarung.
    • 27B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 27A.
    • 27C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 27A.
    • 28A ist eine Draufsicht auf den Bereich der fünften beispielhaften Struktur nach Bildung einer Gate-Elektrode gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 28B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 28A.
    • 28C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 28A.
    • 29A ist eine Draufsicht auf den Bereich der fünften beispielhaften Struktur nach Bildung eines Stapels aus einer planaren halbleitenden Metalloxidauskleidung, einer dielektrischen Gate-Schicht und einer durchgängigen aktiven Schicht gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 29B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 29A.
    • 29C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 29A.
    • 30A ist eine Draufsicht auf den Bereich der fünften beispielhaften Struktur nach Bildung eines Stapels aus einer planaren halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 30B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 30A.
    • 30C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 30A.
    • 31A ist eine Draufsicht auf den Bereich der fünften beispielhaften Struktur nach Bildung einer dielektrischen Schicht, eines Source-Hohlraums und eines Drain-Hohlraums gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 31B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 31A.
    • 31C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 31A.
    • 32A ist eine Draufsicht auf den Bereich der fünften beispielhaften Struktur nach Bildung einer Source-Elektrode und einer Drain-Elektrode gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 32B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 32A.
    • 32C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 32A.
    • 33A ist eine Draufsicht auf den Bereich der fünften beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 33B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 33A.
    • 33C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 33A.
    • 34A ist eine Draufsicht auf einen Abschnitt eines Bereichs einer alternativen Konfiguration der fünften beispielhaften Struktur nach Bildung eines Stapels aus einer planaren halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 34B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 34A.
    • 34C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 34A.
    • 35A ist eine Draufsicht auf den Bereich der alternativen Konfiguration der fünften beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der fünften Ausführungsform der vorliegenden Offenbarung.
    • 35B ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene B - B' in 35A.
    • 35C ist eine vertikale Querschnittsansicht der fünften beispielhaften Struktur entlang der vertikalen Ebene C - C' in 35A.
    • 36A ist eine Draufsicht auf den Abschnitt des Bereichs einer sechsten beispielhaften Struktur nach Bildung einer Gate-Elektrode gemäß einer sechsten Ausführungsform der vorliegenden Offenbarung.
    • 36B ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 36A.
    • 36C ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 36A.
    • 37A ist eine Draufsicht auf den Bereich der sechsten beispielhaften Struktur nach Bildung einer planaren halbleitenden Metalloxidauskleidung, einer dielektrischen Gate-Schicht und einer durchgängigen aktiven Schicht gemäß der sechsten Ausführungsform der vorliegenden Offenbarung.
    • 37B ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 37A.
    • 37C ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 37A.
    • 38A ist eine Draufsicht auf den Bereich der sechsten beispielhaften Struktur nach Bildung eines Stapels aus einer planaren halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht gemäß der sechsten Ausführungsform der vorliegenden Offenbarung.
    • 38B ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 38A.
    • 38C ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 38A.
    • 39A ist eine Draufsicht auf den Bereich der sechsten beispielhaften Struktur nach Bildung eines Source-Hohlraums und eines Drain-Hohlraums gemäß der sechsten Ausführungsform der vorliegenden Offenbarung.
    • 39B ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 39A.
    • 39C ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 39A.
    • 40A ist eine Draufsicht auf den Bereich der sechsten beispielhaften Struktur nach Bildung einer Source-Elektrode und einer Drain-Elektrode gemäß der sechsten Ausführungsform der vorliegenden Offenbarung.
    • 40B ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 40A.
    • 40C ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 40A.
    • 41A ist eine Draufsicht auf den Bereich der sechsten beispielhaften Struktur nach Bildung einer oberen dielektrischen Materialschicht und von Metallverbindungsstrukturen oberer Ebene gemäß der sechsten Ausführungsform der vorliegenden Offenbarung.
    • 41B ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene B - B' in 41A.
    • 41C ist eine vertikale Querschnittsansicht der sechsten beispielhaften Struktur entlang der vertikalen Ebene C - C' in 41A.
    • 42 ist eine vertikale Querschnittsansicht einer beispielhaften Struktur nach Bildung von Speicherzellen gemäß einer Ausführungsform der vorliegenden Offenbarung.
    • 43 ist eine vertikale Querschnittsansicht einer siebten beispielhaften Struktur gemäß einer siebten Ausführungsform der vorliegenden Offenbarung.
    • 44 ist eine vertikale Querschnittsansicht einer achten beispielhaften Struktur gemäß einer achten Ausführungsform der vorliegenden Offenbarung.
    • 45 ist eine vertikale Querschnittsansicht einer neunten beispielhaften Struktur gemäß einer neunten Ausführungsform der vorliegenden Offenbarung.
    • 46 ist eine vertikale Querschnittsansicht einer zehnten beispielhaften Struktur gemäß einer zehnten Ausführungsform der vorliegenden Offenbarung.
    • 47 ist eine vertikale Querschnittsansicht einer elften beispielhaften Struktur gemäß einer elften Ausführungsform der vorliegenden Offenbarung.
    • 48 ist eine vertikale Querschnittsansicht einer zwölften beispielhaften Struktur gemäß einer zwölften Ausführungsform der vorliegenden Offenbarung.
    • 49 ist eine vertikale Querschnittsansicht einer dreizehnten beispielhaften Struktur gemäß einer dreizehnten Ausführungsform der vorliegenden Offenbarung.
    • 50 ist eine vertikale Querschnittsansicht einer vierzehnten beispielhaften Struktur gemäß einer vierzehnten Ausführungsform der vorliegenden Offenbarung.
    • 51 ist ein erstes Flussdiagramm, das erste beispielhafte Verarbeitungsschritte zur Fertigung der Halbleitervorrichtung der vorliegenden Offenbarung veranschaulicht.
    • 52 ist ein zweites Flussdiagramm, das zweite beispielhafte Verarbeitungsschritte zur Fertigung der Halbleitervorrichtung der vorliegenden Offenbarung veranschaulicht.
    • 53 ist ein drittes Flussdiagramm, das allgemeine Verarbeitungsschritte zur Fertigung der Halbleitervorrichtung der vorliegenden Offenbarung veranschaulicht.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Beispiele für die Implementierung unterschiedlicher Merkmale des vorgestellten Gegenstandes bereit. Nachfolgend werden konkrete Beispiele der Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele und sie sind nicht als einschränkend beabsichtigt. Die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen beinhalten, in denen das erste und zweite Merkmal in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen beinhalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin räumlich relative Begriffe, wie etwa „darunter“, „unter“, „tieferer“, „über“, „oberer“ und dergleichen, zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Es ist vorgesehen, dass die räumlich relativen Begriffe unterschiedliche Orientierungen der Vorrichtung im Gebrauch oder im Betrieb zusätzlich zu der in den Figuren gezeigten Orientierung mit einschließen. Die Vorrichtung kann auch anderweitig orientiert sein (um 90 Grad gedreht oder andere Orientierungen) und die hierin verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden. Elemente mit den gleichen Referenzzahlen beziehen sich auf das gleiche Element und es wird davon ausgegangen, dass sie die gleiche Materialzusammensetzung und den gleichen Dickebereich aufweisen, sofern nicht ausdrücklich anders angegeben.
  • Im Allgemeinen können die Strukturen und Verfahren der vorliegenden Offenbarung zum Bilden einer Halbleiterstruktur verwendet werden, die einen Transistor, wie etwa einen Dünnschichttransistor, enthält, der eine halbleitende Metalloxidauskleidung enthält, die sich zwischen einer Gate-Elektrode und einem Gate-Dielektrikum befindet. Halbleitende Metalloxidmaterialien, wie etwa Indium-Gallium-Zink-Oxid, entwickeln sich zu Kanalmaterialien für Dünnschichttransistoren (TFTs), die beispielsweise als Back-End-of-Line-Strukturen (BEOL-Strukturen) für nicht zum Kern gehörende Logikschaltungsfunktionen gefertigt werden können. Metallische Gate-Materialien mit einer hohen Austrittsarbeit können zur Verbesserung des elektrischen Feldes in dem Kanal verwendet werden und bieten eine bessere elektrostatische Kontrolle, wodurch die Schwellenspannung eines Dünnschichttransistors erhöht wird. Der Erhöhung der Schwellenspannung durch die Verwendung eines metallischen Gate-Materials kann durch intrinsisch und extrinsisch wirkende Dotierstoffe in dem Kanal, die vor allem durch hohe Wasserstoffdiffundierung in dem Kanal verursacht werden, auf einen Bereich von 0,1 V bis 0,3 V begrenzt werden. Gemäß einem Aspekt der vorliegenden Offenbarung wird ein Gate-Stapel unter Verwendung einer halbleitenden Metalloxidauskleidung als eine Sperrschicht offenbart, der zur Verringerung von Wasserstoffdiffundierung aus der Gate-Elektrode in den Kanal und zur effektiven Erhöhung der Schwellenspannung eines Transistors verwendet werden kann.
  • Unter Bezugnahme auf 1 wird eine erste beispielhafte Struktur gemäß einer ersten Ausführungsform der vorliegenden Offenbarung veranschaulicht. Die erste beispielhafte Struktur enthält ein Substrat 8, welches ein Halbleitersubstrat sein kann, wie etwa ein handelsübliches Siliziumsubstrat. Das Substrat 8 kann, zumindest an einem oberen Abschnitt davon, eine Halbleitermaterialschicht 9 enthalten. Die Halbleitermaterialschicht 9 kann ein Flächenabschnitt eines Massenhalbleitersubstrats sein oder sie kann eine obere Halbleiterschicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrats) sein. In einer Ausführungsform enthält die Halbleitermaterialschicht 9 ein einzelkristallines Halbleitermaterial, wie etwa einzelkristallines Silizium. In einer Ausführungsform kann das Substrat 8 ein einzelkristallines Siliziumsubstrat enthalten, einschließlich eines einzelkristallinen Siliziummaterials.
  • In einem oberen Abschnitt der Halbleitermaterialschicht 9 können Flachgrabenisolationsstrukturen 720 gebildet werden, die ein dielektrisches Material enthalten, wie etwa Siliziumoxid. In jedem Bereich, der seitlich von einem Abschnitt der Flachgrabenisolationsstrukturen 720 umschlossen ist, können geeignete dotierte Halbleiterwannen gebildet werden, wie etwa p-Typ-Wannen und n-Typ-Wannen. Über der oberen Fläche der Halbleitermaterialschicht 9 können Feldeffekttransistoren 701 gebildet werden. Jeder Feldeffekttransistor 701 kann beispielsweise eine Source-Elektrode 732, eine Drain-Elektrode 738, einen Halbleiterkanal 735, der einen Flächenabschnitt des Substrats 8 enthält, der sich zwischen der Source-Elektrode 732 und der Drain-Elektrode 738 erstreckt, und eine Gate-Struktur 750 umfassen. Der Halbleiterkanal 735 kann ein einzelkristallines Halbleitermaterial enthalten. Jede Gate-Struktur 750 kann eine dielektrische Gate-Schicht 752, eine Gate-Elektrode 754, ein Gate-Cap-Dielektrikum 758 und einen dielektrischen Gate-Abstandshalter 756 enthalten. Auf jeder Source-Elektrode 732 kann ein Source-seitiger Metallhalbleiterlegierungsbereich 742 gebildet werden und auf jeder Drain-Elektrode 738 kann ein Drain-seitiger Metallhalbleiterlegierungsbereich 748 gebildet werden.
  • Die erste beispielhafte Struktur kann einen Speicher-Array-Bereich 100 enthalten, in dem anschließend ein Array ferroelektrischer Speicherzellen gebildet werden kann. Die erste beispielhafte Struktur kann ferner einen Peripheriebereich 200 enthalten, in dem Metallverdrahtung für das Array ferroelektrischer Speichervorrichtungen bereitgestellt wird. Im Allgemeinen können die Feldeffekttransistoren 701 in der CMOS-Schaltungsanordnung 700 über einen jeweiligen Satz von Metallverbindungsstrukturen mit einer Elektrode einer jeweiligen ferroelektrischen Speicherzelle elektrisch verbunden sein.
  • Vorrichtungen (wie etwa Feldeffekttransistoren 701) in dem Peripheriebereich 200 können Funktionen bereitstellen, die das anschließend zu bildende Array ferroelektrischer Speicherzellen betreiben. Vorrichtungen in dem Peripheriebereich können insbesondere dafür ausgelegt sein, den Programmiervorgang, den Löschvorgang und den Erfassungsvorgang (Lesen) des Arrays ferroelektrischer Speicherzellen zu steuern. Die Vorrichtungen in dem Peripheriebereich können beispielsweise Erfassungsschaltungsanordnungen und/oder eine Programmierschaltungsanordnung enthalten. Die auf der oberen Fläche der Halbleitermaterialschicht 9 gebildeten Vorrichtungen können komplementäre Metalloxidhalbleitertransistoren (CMOS-Transistoren) und optional zusätzliche Halbleitervorrichtungen (wie etwa Widerstände, Dioden, Kondensatoren usw.) enthalten und werden zusammen als CMOS-Schaltungsanordnung 700 bezeichnet.
  • Einer oder mehrere der Feldeffekttransistoren 701 in der CMOS-Schaltungsanordnung 700 kann bzw. können einen Halbleiterkanal 735 enthalten, der einen Abschnitt der Halbleitermaterialschicht 9 in dem Substrat 8 enthält. Wenn die Halbleitermaterialschicht 9 ein einzelkristallines Halbleitermaterial enthält, wie etwa einzelkristallines Silizium, kann der Halbleiterkanal 735 jedes Feldeffekttransistors 701 in der CMOS-Schaltungsanordnung 700 einen einzelkristallinen Halbleiterkanal enthalten, wie etwa einen einzelkristallinen Siliziumkanal. In einer Ausführungsform kann eine Mehrzahl von Feldeffekttransistoren 701 in der CMOS-Schaltungsanordnung 700 einen jeweiligen Knoten enthalten, der anschließend mit einem Knoten einer jeweiligen anschließend zu bildenden ferroelektrischen Speicherzelle elektrisch verbunden wird. Eine Mehrzahl von Feldeffekttransistoren 701 in der CMOS-Schaltungsanordnung 700 kann beispielsweise eine jeweilige Source-Elektrode 732 oder eine jeweilige Drain-Elektrode 738 enthalten, die anschließend mit einem Knoten einer später zu bildenden jeweiligen ferroelektrischen Speicherzelle elektrisch verbunden wird.
  • In einer Ausführungsform kann die CMOS-Schaltungsanordnung 700 eine Programmiersteuerungsschaltung enthalten, die dafür ausgelegt ist, Gate-Spannungen eines Satzes von Feldeffekttransistoren 701 zu steuern, die zur Programmierung einer jeweiligen ferroelektrischen Speicherzelle und zum Steuern von Gate-Spannungen von später zu bildenden Dünnschichttransistoren verwendet werden. In dieser Ausführungsform kann die Programmiersteuerungsschaltung dafür ausgelegt sein, einen ersten Programmierimpuls bereitzustellen, der eine jeweilige ferroelektrische dielektrische Materialschicht in einer ausgewählten ferroelektrischen Speicherzelle in einen ersten Polarisationszustand programmiert, in dem elektrische Polarisation in der ferroelektrischen dielektrischen Materialschicht zu einer ersten Elektrode der ausgewählten ferroelektrischen Speicherzelle gerichtet ist, und einen zweiten Programmierimpuls bereitzustellen, der die ferroelektrische dielektrische Materialschicht in der ausgewählten ferroelektrischen Speicherzelle in einen zweiten Polarisationszustand programmiert, in dem die elektrische Polarisation in der ferroelektrischen dielektrischen Materialschicht zu einer zweiten Elektrode der ausgewählten ferroelektrischen Speicherzelle gerichtet ist.
  • In einer Ausführungsform kann das Substrat 8 ein einzelkristallines Siliziumsubstrat enthalten und die Feldeffekttransistoren 701 können einen jeweiligen Abschnitt des einzelkristallinen Siliziumsubstrats als einen halbleitenden Kanal enthalten. Wie hierin verwendet, bezieht sich ein „halbleitendes“ Element auf ein Element mit einer elektrischen Leitfähigkeit im Bereich von 1,0 × 10-6 S/cm bis 1,0 × 105 S/cm. Wie hierin verwendet, bezieht sich ein „Halbleitermaterial“ auf ein Material mit einer elektrischen Leitfähigkeit im Bereich von 1,0 × 10-6 S/cm bis 1,0 × 105 S/cm bei Fehlen elektrischer Dotierstoffe darin und es ist dazu in der Lage, ein dotiertes Material mit einer elektrischen Leitfähigkeit in einem Bereich von 1,0 S/cm bis 1,0 × 105 S/cm bei geeigneter Dotierung mit einem elektrischen Dotierstoff zu produzieren.
  • Gemäß einem Aspekt der vorliegenden Offenbarung können die Feldeffekttransistoren 701 anschließend mit Drain-Elektroden und Gate-Elektroden von Zugangstransistoren, einschließlich halbleitenden Metalloxidplatten, die über den Feldeffekttransistoren 701 zu bilden sind, elektrisch verbunden werden. In einer Ausführungsform kann eine Teilmenge der Feldeffekttransistoren 701 anschließend mit mindestens einer der Drain-Elektroden und der Gate-Elektroden elektrisch verbunden werden. Die Feldeffekttransistoren 701 können beispielsweise erste Wortleitungstreiber umfassen, die dafür ausgelegt sind, eine erste Gate-Spannung auf erste Wortleitungen über eine erste Teilmenge von anschließend zu bildenden Metallverbindungsstrukturen unterer Ebene anzulegen, und zweite Wortleitungstreiber, die dafür ausgelegt sind, eine zweite Gate-Spannung auf zweite Wortleitungen über eine zweite Teilmenge der Metallverbindungsstrukturen unterer Ebene anzulegen. Ferner können die Feldeffekttransistoren 701 Bitleitungstreiber umfassen, die dafür ausgelegt sind, eine Bitleitungsvorspannung auf anschließend zu bildende Bitleitungen anzulegen, und Erfassungsverstärker, die dafür ausgelegt sind, elektrischen Strom zu erfassen, der während eines Lesevorgangs durch die Bitleitungen fließt.
  • Über das Substrat 8 und die Halbleitervorrichtungen darauf (wie etwa Feldeffekttransistoren 701) können anschließend verschiedene Metallverbindungsstrukturen, die innerhalb dielektrischer Materialschichten gebildet werden, gebildet werden. In einem veranschaulichenden Beispiel können die dielektrischen Materialschichten beispielsweise eine erste dielektrische Materialschicht 601 enthalten, die eine Schicht sein kann, die die mit dem Source und den Drains verbundene Kontaktstruktur umgibt (bisweilen als eine dielektrische Materialschicht auf Kontaktebene 601 bezeichnet), eine erste dielektrische Materialschicht auf Verbindungsebene 610 und eine zweite dielektrische Materialschicht auf Verbindungsebene 620. Die Metallverbindungsstrukturen können Vorrichtungskontakt-Durchkontaktierungsstrukturen 612 enthalten, die in der ersten dielektrischen Materialschicht 601 ausgebildet und in Kontakt mit einer jeweiligen Komponente der CMOS-Schaltungsanordnung 700 sind, erste Metallleitungsstrukturen 618, die in der ersten dielektrischen Materialschicht auf Verbindungsebene 610 ausgebildet sind, erste Metalldurchkontaktierungsstrukturen 622, die in einem unteren Abschnitt der zweiten dielektrischen Materialschicht auf Verbindungsebene 620 ausgebildet sind, und zweite Metallleitungsstrukturen 628, die in einem oberen Abschnitt der zweiten dielektrischen Materialschicht auf Verbindungsebene 620 ausgebildet sind.
  • Jede der dielektrischen Materialschichten (601, 610, 620) kann ein dielektrisches Material enthalten, wie etwa undotiertes Silikatglas, ein dotiertes Silikatglas, Organosilikatglas, amorphen fluorierten Kohlenstoff, poröse Varianten davon oder Kombinationen davon. Jede der Metallverbindungsstrukturen (612, 618, 622, 628) kann mindestens ein leitfähiges Material enthalten, welches eine Kombination aus einer metallischen Auskleidung (wie etwa ein Metallnitrid oder ein Metallkarbid) und einem metallischen Füllmaterial sein kann. Jede metallische Auskleidung kann TiN, TaN, WN, TiC, TaC und WC enthalten und jeder metallische Füllmaterialabschnitt kann W, Cu, Al, Co, Ru, Mo, Ta, Ti, Legierungen davon und/oder Kombinationen davon enthalten. Es können auch andere geeignete metallische Auskleidungs- und Füllmaterialien im angedachten Umfang der Offenbarung verwendet werden. In einer Ausführungsform können die ersten Metalldurchkontaktierungsstrukturen 622 und die zweiten Metallleitungsstrukturen 628 als integrierte Leitungs- und Durchkontaktierungsstrukturen durch einen Doppeldamaszenerprozess gebildet werden. Die dielektrischen Materialschichten (601, 610, 620) werden hierin als dielektrische Materialschichten unterer-unterer Ebene bezeichnet. Die Metallverbindungsstrukturen (612, 618, 622, 628), die innerhalb der dielektrischen Materialschichten unterer Ebene ausgebildet sind, werden hierin als Metallverbindungsstrukturen unterer Ebene bezeichnet.
  • Obwohl die vorliegende Offenbarung anhand einer Ausführungsform beschrieben ist, bei der ein Array von Speicherzellen über der zweiten dielektrischen Materialschicht auf Leitungs- und Durchkontaktierungsebene 620 beschrieben ist, werden hierin auch ausdrücklich Ausführungsformen in Erwägung gezogen, bei denen das Array von Speicherzellen auf einer anderen Metallverbindungsebene gebildet werden kann.
  • Anschließend kann ein Array von Transistoren (z.B. Dünnschichttransistoren) und ein Array ferroelektrischer Speicherzellen über die dielektrischen Materialschichten (601, 610, 620), in denen die Metallverbindungsstrukturen (612, 618, 622, 628) ausgebildet sind, abgeschieden werden. Der Satz aller dielektrischer Materialschichten, die vor Bildung eines Arrays von Transistoren oder eines Arrays ferroelektrischer Speicherzellen gebildet werden, wird kollektiv als dielektrische Materialschichten unterer Ebene (601, 610, 620) bezeichnet. Der Satz aller Metallverbindungsstrukturen, die innerhalb der dielektrischen Materialschichten unterer Ebene (601, 610, 620) gebildet werden, wird hierin als erste Metallverbindungsstrukturen (612, 618, 622, 628) bezeichnet. Im Allgemeinen können die ersten Metallverbindungsstrukturen (612, 618, 622, 628) innerhalb mindestens einer dielektrischen Materialschicht unterer Ebene (601, 610, 620) über der Halbleitermaterialschicht 9, die sich in dem Substrat 8 befindet, gebildet werden.
  • Gemäß einem Aspekt der vorliegenden Offenbarung können anschließend Transistoren (z.B. Dünnschichttransistoren (TFTs)) in einer Metallverbindungsebene gebildet werden, die über den Metallverbindungsebenen liegen, die die dielektrischen Materialschichten unterer Ebene (601, 610, 620) und die ersten Metallverbindungsstrukturen (612, 618, 622, 628) enthalten. In einer Ausführungsform kann eine planare dielektrische Materialschicht mit einer einheitlichen Dicke über den dielektrischen Materialschichten unterer Ebene (601, 610, 620) gebildet werden. Die planare dielektrische Materialschicht wird hierin als eine isolierende Matrixschicht 635 bezeichnet. Die isolierende Matrixschicht 635 enthält ein dielektrisches Material, wie etwa undotiertes Silikatglas, ein dotiertes Silikatglas, Organosilikatglas oder ein poröses dielektrisches Material, und kann durch chemische Gasphasenabscheidung abgeschieden werden. Die Dicke der isolierenden Matrixschicht 635 kann in einem Bereich von 20 nm bis 300 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Im Allgemeinen können dielektrische Schichten auf Verbindungsebene (wie etwa die dielektrische Materialschicht unterer Ebene (601, 610, 620)), die die Metallverbindungsstrukturen (wie etwa die ersten Metallverbindungsstrukturen (612, 618, 622, 628)) darin enthalten, über Halbleitervorrichtungen gebildet werden. Die isolierende Matrixschicht 635 kann über den dielektrischen Schichten auf Verbindungsebene gebildet werden.
  • Unter Bezugnahme auf 2A - 2C ist eine Einheitsvorrichtungsfläche innerhalb des Bereichs der ersten beispielhaften Struktur veranschaulicht. Die Einheitsvorrichtungsfläche entspricht einer Fläche, auf der anschließend ein Transistor gebildet wird. Optional können in jeder Einheitsvorrichtungsfläche Körpervorspannungsleitungen 112 gebildet werden. In dieser Ausführungsform können in einem oberen Abschnitt der isolierenden Matrixschicht 635 Leitungsgräben gebildet werden und diese können mit mindestens einem metallischen Material gefüllt werden, um die Körpervorspannungsleitungen 112 zu bilden. In einer Ausführungsform kann das mindestens eine metallische Füllmaterial eine Kombination aus einer metallischen Auskleidungsschicht umfassen, die eine metallische Sperrmaterial- und eine metallische Füllmaterialschicht, die ein metallisches Füllmaterial enthält, umfasst. Die metallische Auskleidungsschicht kann ein metallisches Sperrmaterial umfassen, wie etwa TiN, TaN, WN, TiC, TaC, WC oder einen Stapel davon und sie kann durch physikalische Gasphasenabscheidung oder chemische Gasphasenabscheidung abgeschieden werden. Die Dicke der metallischen Auskleidungsschicht kann in einem Bereich von 1 nm bis 30 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können. Die metallische Füllmaterialschicht kann W, Cu, Al, Co, Ru, Mo, Ta, Ti, Legierungen davon und/oder Kombinationen davon umfassen. Es kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP), durchgeführt werden, um Abschnitte der metallischen Auskleidungsschicht und der metallischen Füllmaterialschicht, die über der horizontalen Ebene liegen, einschließlich der oberen Fläche der isolierenden Matrixschicht 635, zu entfernen. Jeder verbleibende Abschnitt des mindestens einen metallischen Materials umfasst eine Körpervorspannungsleitung 112, die anschließend zur elektrischen Vorspannung des Körpers, d.h. des Kanals, eines Dünnschichttransistors verwendet werden kann. Die Körpervorspannungsleitungen 112 können sich seitlich entlang der ersten horizontalen Richtung hd1 erstrecken oder entlang der zweiten horizontalen Richtung hd2. Die Höhe der Körpervorspannungsleitungen112 kann in einem Bereich von 10 nm bis 300 nm liegen, wie etwa von 30 nm bis 100 nm, obwohl auch kleinere oder größere Höhen verwendet werden können.
  • Unter Bezugnahme auf 3A - 3C kann über der isolierenden Matrixschicht 635 eine Isolierschicht 42 abgeschieden werden. Die Isolierschicht 42 enthält ein isolierendes Material, wie etwa undotiertes Silikatglas, ein dotiertes Silikatglas, Siliziumoxynitrid, Siliziumnitrid, Siliziumcarbidnitrid, Organosilikatglas oder eine Kombination oder einen Stapel davon. Die Dicke der Isolierschicht 42 kann in einem Bereich von 10 nm bis 300 nm liegen, wie etwa von 30 nm bis 100 nm, obwohl auch kleinere oder größere Dicken verwendet werden können.
  • Optional kann innerhalb jeder Einheitvorrichtungsfläche ein Körperkontakthohlraum 111 gebildet werden, beispielsweise durch Aufbringen und Strukturieren einer Photoresistschicht über der Isolierschicht 42 zum Bilden einer Öffnung in der Photoresistschicht, und durch Übertragen der Struktur der Öffnung durch die Isolierschicht 42 durch Durchführen eines anisotropen Ätzprozesses, bei dem die strukturierte Photoresistschicht als eine Ätzmaske verwendet wird. Am Boden jedes Körperkontakthohlraums 111 kann eine obere Fläche der Körpervorspannungsleitung 112 physisch freigelegt werden. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • Unter Bezugnahme auf 4A - 4C kann jeder Körperkontakthohlraum 111 mit mindestens einem metallischen Material gefüllt werden, um darin eine Körperkontakt-Durchkontaktierungsstruktur 115 zu bilden. In einer Ausführungsform kann das mindestens eine metallische Füllmaterial eine Kombination aus einer metallischen Auskleidungsschicht umfassen, die eine metallische Sperrmaterial- und eine metallische Füllmaterialschicht, die ein metallisches Füllmaterial enthält, umfasst. Die metallische Auskleidungsschicht kann ein metallisches Sperrmaterial umfassen, wie etwa TiN, TaN, WN, TiC, TaC, WC oder einen Stapel davon, und sie kann durch physikalische Gasphasenabscheidung oder chemische Gasphasenabscheidung abgeschieden werden. Die Dicke der metallischen Auskleidungsschicht kann in einem Bereich von 1 nm bis 30 nm liegen, obwohl auch kleinere und größere Dicken verwendet werden können. Die metallische Füllmaterialschicht kann W, Cu, Al, Co, Ru, Mo, Ta, Ti, Legierungen davon und/oder Kombinationen davon umfassen. Es kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP), durchgeführt werden, um Abschnitte der metallischen Auskleidungsschicht und der metallischen Füllmaterialschicht, die über der horizontalen Ebene liegen, einschließlich der oberen Fläche der Isolierschicht 42, zu entfernen. Jeder verbleibende Abschnitt des mindestens einen metallischen Materials umfasst eine Körperkontakt-Durchkontaktierungsstruktur 115, die anschließend zur elektrischen Vorspannung des Körpers, d.h. des Kanals, eines Transistors verwendet werden kann. Die obere Fläche jeder Körperkontakt-Durchkontaktierungsstruktur 115 kann innerhalb der gleichen Ebene liegen, wie die obere Fläche der Isolierschicht 42.
  • Unter Bezugnahme auf 5A - 5C können eine durchgängige aktive Schicht 20L und eine dielektrische Gate-Schicht 30L sequenziell über den Körperkontakt-Durchkontaktierungsstrukturen 115 und die isolierende Schicht 42 abgeschieden werden. In einer Ausführungsform enthält das halbleitende Material ein Material, das bei geeigneter Dotierung mit elektrischen Dotierstoffen (die p-Typ-Dotierstoffe oder n-Typ-Dotierstoffe sein können) elektrische Leitfähigkeit in einem Bereich von 1,0 S/cm bis 1,0 × 105 S/cm bereitstellt. Beispielhafte Halbleitermaterialien, die für die durchgängige aktive Schicht 20L verwendet werden können, umfassen, sind aber nicht beschränkt auf Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertes Zinkoxid, dotiertes Indiumoxid (wie etwa Wolfram-dotiertes Indiumoxid), dotiertes Cadmiumoxid und diverse andere daraus abgeleitete dotierte Varianten. Andere geeignete Halbleitermaterialien liegen in dem angedachten Umfang der Offenbarung. In einer Ausführungsform kann das Halbleitermaterial der durchgängigen aktiven Schicht 20L Indium-Gallium-Zink-Oxid enthalten.
  • Die durchgängige aktive Schicht 20L kann ein polykristallines Halbleitermaterial enthalten oder ein amorphes Halbleitermaterial, das anschließend in ein polykristallines Halbleitermaterial mit einer größeren durchschnittlichen Korngröße getempert werden kann. Die durchgängige aktive Schicht 20L kann durch physikalische Gasphasenabscheidung abgeschieden werden, obwohl auch andere geeignete Abscheidungsprozesse verwendet werden können. Die Dicke der durchgängigen aktiven Schicht 20L kann in einem Bereich von 1 nm bis 100 nm liegen, wie etwa von 2 nm bis 50 nm und/oder von 3 nm bis 20 nm, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Die dielektrische Gate-Schicht 30L kann über der durchgängigen aktiven Schicht 20L durch Abscheiden mindestens eines dielektrischen Gate-Materials gebildet werden. Das dielektrische Gate-Material kann Siliziumoxid, Siliziumoxynitrid, ein dielektrisches Metalloxid mit hohem k-Wert (wie etwa Hafniumoxid, Zirkoniumoxid, Hafnium-Zirkonium-Oxid, Titanoxid, Tantaloxid, Yttriumoxid, Lanthanoxid, Aluminiumoxid usw.) oder einen Stapel davon umfassen, ist aber nicht darauf beschränkt. Andere geeignete dielektrische Materialien liegen in dem angedachten Umfang der Offenbarung. Das dielektrische Gate-Material kann durch Atomlagenabscheidung oder chemische Gasphasenabscheidung abgeschieden werden, obwohl auch andere geeignete Abscheidungsprozesse verwendet werden können. Die Dicke der dielektrischen Gate-Schicht 30L kann in einem Bereich von 1 nm bis 15 nm liegen, wie etwa von 2 nm bis 6 nm, obwohl auch kleinere oder größere Dicken verwendet werden können.
  • Unter Bezugnahme auf 6A - 6C kann über die dielektrische Gate-Schicht 30L eine Photoresistschicht (nicht gezeigt) aufgebracht und lithographisch strukturiert werden, um einen diskreten strukturierten Photoresistmaterialabschnitt zu bilden. Jeder strukturierte Abschnitt der Photoresistschicht kann sich innerhalb der Fläche einer jeweiligen einen der Einheitvorrichtungsflächen befinden. Die Fläche jedes strukturierten Abschnitts der Photoresistschicht kann die Fläche eines Halbleitermetalloxidabschnitts definieren, der anschließend aus der durchgängigen aktiven Schicht 20L strukturiert wird. In einer Ausführungsform kann jeder strukturierte Abschnitt der Photoresistschicht eine horizontale Querschnittsform eines Rechtecks oder eines abgerundeten Rechtecks aufweisen.
  • Die Struktur in der Photoresistschicht kann durch die dielektrische Gate-Schicht 30L und die durchgängige aktive Schicht 20L durch einen anisotropen Ätzprozess übertragen werden. Strukturierte Abschnitte der dielektrischen Gate-Schicht 30L umfassen Gate-Dielektrika 30. Strukturierte Abschnitte der durchgängigen aktiven Schicht 20L umfassen aktive Schichten 20, die Halbleitermetalloxidplatten mit einheitlicher Dicke umfassen können. Seitenwände der aktiven Schicht 20 und das Gate-Dielektrikum 30 in jedem Schichtstapel (20,30) können vertikal koinzidieren, d.h. sie können sich innerhalb einer gleichen vertikalen Ebene befinden. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • In einer Ausführungsform kann jede aktive Schicht 20 eine horizontale Querschnittsform eines Rechtecks oder eines abgerundeten Rechtecks aufweisen. In einer Ausführungsform kann jede aktive Schicht 20 eine seitliche Abmessung entlang der ersten horizontalen Richtung hd1 in einem Bereich von 60 nm bis 1.000 nm, wie etwa von 100 nm bis 300 nm, aufweisen, obwohl auch kleinere und größere seitliche Abmessungen verwendet werden können. In einer Ausführungsform kann jede aktive Schicht 20 eine seitliche Abmessung entlang der zweiten horizontalen Richtung hd2 in einem Bereich von 20 nm bis 500 nm, wie etwa von 40 nm bis 250 nm, aufweisen, obwohl auch kleinere und größere seitliche Dimensionen verwendet werden können. Das Verhältnis der seitlichen Abmessung entlang der ersten horizontalen Richtung hd1 zu der seitlichen Abmessung entlang der zweiten horizontalen Richtung hd2 in jeder aktiven Schicht 20 kann in einem Bereich von 0,5 bis 4, wie etwa von 1 bis 2, liegen, obwohl auch kleinere und größere Verhältnisse verwendet werden können.
  • Gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung können eine Gate-Elektrode, eine halbleitende Metalloxidauskleidung, ein Gate-Dielektrikum 30 und eine aktive Schicht 20 sequenziell (beispielsweise in einer räumlichen Reihenfolge entlang einer vertikalen Richtung) über einem Substrat 8 in einer Vowärtsreihenfolge oder in einer Rückwärtsreihenfolge ausgebildet werden. In der ersten in 6A - 6C veranschaulichten beispielhaften Struktur kann eine aktive Schicht 20 und ein Gate-Dielektrikum 30 von unten nach oben gebildet werden und die halbleitende Metalloxidauskleidung und die Gate-Elektrode können in nachfolgenden Verarbeitungsschritten ausgebildet werden. Eine Körperkontakt-Durchkontaktierungsstruktur 115 kann eine Fläche der aktiven Schicht 20 kontaktieren.
  • Unter Bezugnahme auf 7A - 7C kann über dem Gate-Dielektrikum 30 eine dielektrische Schicht 48 abgeschieden werden. Die dielektrische Schicht 48 wird auch als eine dielektrische Schicht auf Elektrodenebene bezeichnet. Die dielektrische Schicht 48 enthält ein dielektrisches Material, wie etwa undotiertes Silikatglas, ein dotiertes Silikatglas, Organosilikatglas, Siliziumoxynitrid oder einen Stapel davon. Die dielektrische Schicht 48 kann optional planarisiert werden, um eine flache obere Fläche bereitzustellen. Der Satz aus der isolierenden Schicht 42 und der dielektrischen Schicht 48 wird hierin als eine dielektrische Schicht auf Dünnschichttransistorebene (TFT-Ebene) 40 bezeichnet, d.h. sie ist eine dielektrische Schicht, die auf der Ebene der Dünnschichttransistoren angeordnet ist. Die dielektrische Schicht 48 kann das gleiche dielektrische Material oder ein anderes dielektrisches Material umfassen, wie das dielektrische Material der Isolierschicht 42. Die Dicke der dielektrischen Schicht 48, von über dem Gate-Dielektrikum 30 gemessen, kann in einem Bereich von 1 nm bis 1.000 nm liegen, wie etwa von 10 nm bis 500 nm, und/oder von 100 nm bis 300 nm, obwohl auch kleinere und größere Dicken verwendet werden können.
  • Unter Bezugnahme auf 8A- 8C kann eine Photoresistschicht (nicht gezeigt) über die dielektrische Schicht auf TFT-Ebene 40 aufgebracht und lithographisch strukturiert werden, um diskrete Öffnungen darin zu bilden. Die Struktur der Öffnungen in der Photoresistschicht umfasst ein Paar Öffnungen, die über Endabschnitten der aktiven Schicht 20 liegen. Die Struktur der diskreten Öffnungen in der Photoresistschicht kann durch die dielektrische Schicht 48 und das Gate-Dielektrikum 30 durch einen anisotropen Ätzprozess übertragen werden, um einen Source-Hohlraum 51 und einen Drain-Hohlraum 59 zu bilden. Der seitliche Abstand zwischen dem Source-Hohlraum 51 und dem Drain-Hohlraum 59 kann größer sein als die Breite der Körperkontakt-Durchkontaktierungsstruktur 115 entlang der ersten horizontalen Richtung hd1. Der anisotrope Ätzprozess kann selektiv zu dem Material der aktiven Schicht 20 sein. Aufgrund der begrenzten Selektivität des anisotropen Ätzprozesses, der zum Bilden des Source-Hohlraums 51 und des Drain-Hohlraums 59 verwendet wird, können jedoch Flächen der aktiven Schicht 20 vertikal unter dem Source-Hohlraum 51 und dem Drain-Hohlraum 59 ausgespart werden. Die vertikale Aussparungsdistanz kann in einem Bereich von 0,1 nm bis 6 nm, wie etwa von 0,3 nm bis 3 nm, liegen, obwohl auch kleinere und größere vertikale Aussparungsdistanzen verwendet werden können. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • Unter Bezugnahme auf 9A - 9C kann mindestens ein leitfähiges Material in den Hohlräumen (51, 59) und über der dielektrischen Schicht auf TFT-Ebene 40 abgeschieden werden. Das mindestens eine leitfähige Material kann ein metallisches Auskleidungsmaterial und ein metallisches Füllmaterial umfassen. Das metallische Auskleidungsmaterial kann ein leitfähiges Metallnitrid oder ein leitfähiges Metallkarbid enthalten, wie etwa TiN, TaN, WN, TiC, TaC und/oder WC. Die Dicke der metallischen Auskleidung kann in einem Bereich von 1 nm bis 100 nm liegen, wie etwa von 3 nm bis 30 nm, obwohl auch kleinere und größere Dicken verwendet werden können. Das metallische Füllmaterial kann W, Cu, Al, Co, Ru, Mo, Ta, Ti, Legierungen davon und/oder Kombinationen davon umfassen. Es können auch andere geeignete Materialien in dem angedachten Umfang der Offenbarung verwendet werden.
  • Überschüssige Abschnitte des mindestens einen leitfähigen Materials können von über der horizontalen Ebene, einschließlich der oberen Fläche der dielektrischen Schicht auf TFT-Ebene 40, durch einen Planarisierungsprozess entfernt werden, der einen CMP-Prozess und/oder einen Aussparungsätzprozess verwenden kann. Es können auch andere geeignete Planarisierungsprozesse verwendet werden. Jeder verbleibende Abschnitt des mindestens einen leitfähigen Materials, das einen Source-Hohlraum 51 füllt, konstituiert eine Source-Elektrode 52. Jeder verbleibende Abschnitt des mindestens einen leitfähigen Materials, das einen Drain-Hohlraum 59 füllt, konstituiert eine Drain-Elektrode 56.
  • In einer Ausführungsform kann jede Source-Elektrode 52 eine metallische Source-Auskleidung 53 enthalten, die ein verbleibender Abschnitt des metallischen Auskleidungsmaterials ist, und einen metallischen Source-Füllmaterialabschnitt 54, der ein verbleibender Abschnitt des metallischen Füllmaterials ist. Jede Drain-Elektrode 56 kann eine metallische Drain-Auskleidung 57 enthalten, die ein verbleibender Abschnitt des metallischen Auskleidungsmaterials ist, und einen metallischen Drain-Füllmaterialabschnitt 58, der ein verbleibender Abschnitt des metallischen Füllmaterials ist. Die Höhe des metallischen Source-Füllmaterialabschnitts 54 und des metallischen Drain-Füllmaterialabschnitts 58 kann in einem Bereich von 1 nm bis 1.000 nm liegen, wie etwa von 10 nm bis 300 nm, und/oder von 30 nm bis 100 nm, obwohl auch kleinere und größere Höhen verwendet werden können.
  • Im Allgemeinen können die Source-Elektrode 52 und die Drain-Elektrode 56 durch das Gate-Dielektrikum 30 auf einem jeweiligen Flächensegment der aktiven Schicht 20 gebildet werden. Die Source-Elektrode 52 und die Drain-Elektrode 56 können auf Peripherieabschnitten der aktiven Schicht 20 ausgebildet werden und sind seitlich durch die Gate-Elektrode 15 voneinander beabstandet. Die Source-Elektrode 52 kontaktiert einen ersten Endabschnitt der aktiven Schicht 20 und die Drain-Elektrode 56 kontaktiert einen zweiten Endabschnitt der aktiven Schicht 20.
  • In einer Ausführungsform erstreckt sich das Gate-Dielektrikum 30 seitlich zwischen der Source-Elektrode 52 und der Drain-Elektrode 56 und kontaktiert Seitenwände davon. Die dielektrische Schicht 48 umgibt die aktive Schicht 20, die Source-Elektrode 52, die Drain-Elektrode 56 seitlich und kontaktiert die Gesamtheit einer oberen Fläche des Gate-Dielektrikums 30. In einer Ausführungsform sind die oberen Flächen der Source-Elektrode 52 und der Drain-Elektrode 56 innerhalb einer gleichen horizontalen Ebene wie eine obere Fläche der dielektrischen Schicht angeordnet.
  • Unter Bezugnahme auf 10A - 10C kann ein Gate-Hohlraum 39 durch Aussparen eines Abschnitts der dielektrischen Schicht 48, die über einem mittleren Abschnitt der aktiven Schicht 20 innerhalb jeder Einheitvorrichtungsfläche liegt, gebildet werden. Es kann beispielsweise eine Photoresistschicht (nicht gezeigt) über der dielektrischen Schicht 48 aufgebracht und lithographisch strukturiert werden, um eine Öffnung zu bilden, die über einem Abschnitt der aktiven Schicht 20 liegt, die zwischen der Source-Elektrode 52 und der Drain-Elektrode 56 innerhalb jeder Einheitsvorrichtungsfläche angeordnet ist. Es kann ein anisotroper Ätzprozess zum Ätzen von Abschnitten der dielektrischen Schicht 48, die unter den Öffnungen in der Photoresistschicht liegen, durchgeführt werden. Wenn die dielektrische Schicht 48 beispielsweise Siliziumoxid umfasst und wenn das Gate-Dielektrikum 30 ein dielektrisches Metalloxidmaterial umfasst, kann der anisotrope Ätzprozess Siliziumoxid selektiv zu dem dielektrischen Metalloxidmaterial des Gate-Dielektrikums 30 ätzen. Unter jeder Öffnung in der Photoresistschicht kann ein Gate-Hohlraum 39 gebildet werden. Eine obere Fläche eines Gate-Dielektrikums 30 ist am Boden jedes Gate-Hohlraums 39 physisch freigelegt. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • Unter Bezugnahme auf 11A - 11C kann in jedem der Gate-Hohlräume 39 und über der oberen Fläche der dielektrischen Schicht 48 durch konformes Abscheiden eines halbleitenden Metalloxidmaterials eine durchgängige halbleitende Metalloxidauskleidung 31L abgeschieden werden. Das halbleitende Metalloxidmaterial der durchgängigen halbleitenden Metalloxidauskleidung 31L kann jedwedes Material verwenden, das für die aktive Schicht 20 verwendet werden kann. In einer Ausführungsform kann das halbleitende Metalloxidmaterial der durchgängigen halbleitenden Metalloxidauskleidung 31L ein Material umfassen, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid (wie etwa Wolfram dotiertes Indiumoxid) und dotiertem Cadmiumoxid ausgewählt wird, und/oder sie kann im Wesentlichen daraus bestehen. Die durchgängige halbleitende Metalloxidauskleidung 31L kann beispielsweise durch Atomlagenabscheidung abgeschieden werden.
  • Die durchgängige halbleitende Metalloxidauskleidung 31L kann eine Dicke in einem Bereich von 0,1 nm bis 3 nm, wie etwa von 0,2 nm bis 2 nm, und/oder von 0,3 nm bis 1 nm aufweisen. Im Allgemeinen kann die durchgängige halbleitende Metalloxidauskleidung 31L dünn genug sein, so dass die Gesamtheit eines Abschnitts der durchgängigen halbleitenden Metalloxidauskleidung 31L, der sich in Nähe einer Gate-Elektrode befindet, eine hinreichende Menge von Wasserstoffatomen absorbiert und hoch leitfähig wird, und sie kann dick genug sein, so dass die durchgängige halbleitende Metalloxidauskleidung 31L Wasserstoffdiffundierung effektiv blockieren kann. Es wird davon ausgegangen, dass eine Dicke von mindestens 0,1 nm und vorzugsweise mindestens 0,3 nm notwendig ist, damit das halbleitende Metalloxidmaterial der durchgängigen halbleitenden Metalloxidauskleidung 31L effektiv als eine Wasserstoffsperrstruktur funktioniert. Es wird weiterhin davon ausgegangen, dass eine Dicke von nicht mehr als 3 nm und vorzugsweise von nicht mehr als 2 nm und/oder 1 nm leitfähig ist, um eine hinreichende Menge von Wasserstoffatomen aus umgebenden dielektrischen Materialabschnitten (wie etwa Siliziumoxid) zu absorbieren, um sicherzustellen, dass die durchgängige halbleitende Metalloxidauskleidung 31L hoch leitfähig wird.
  • Im Allgemeinen kann die aktive Schicht 20 dicker sein als die durchgängige halbleitende Metalloxidauskleidung 31L. In einer Ausführungsform weist die aktive Schicht 20 eine Dicke auf, die mindestens dreimal, wie etwa mindestens sechsmal, und vorzugsweise zehnmal dicker ist als die Dicke der durchgängigen halbleitenden Metalloxidauskleidung 31L. Eine Dicke der aktiven Schicht 20, die mindestens dreimal die Dicke der durchgängigen halbleitenden Metalloxidauskleidung 31L aufweist, gewährleistet, dass sich die elektrische Leitfähigkeit des halbleitenden Metalloxidmaterials der aktiven Schicht 20 in einem optimalen halbleitenden Bereich befindet, während die elektrische Leitfähigkeit der durchgängigen halbleitenden Metalloxidauskleidung 31L leitfähiger ist als das Material der aktiven Schicht 20. Ferner lässt sich in Ausführungsformen, bei denen das Material der durchgängigen halbleitenden Metalloxidauskleidung 31L eine hohe Leitfähigkeit aufweist, eine Erhöhung der effektiven dielektrischen Dicke zwischen der aktiven Schicht 20 und einer später zu bildenden Gate-Elektrode vermeiden.
  • Unter Bezugnahme auf 12A - 12C kann mindestens ein leitfähiges Material in verbleibenden Volumen der Gate-Hohlräumen 39 und über der dielektrischen Schicht 48 abgeschieden werden. Das mindestens eine leitfähige Material kann ein optionales metallisches Auskleidungsmaterial und ein metallisches Füllmaterial umfassen. Das optionale metallische Auskleidungsmaterial kann, sofern vorhanden, ein leitfähiges Metallnitrid oder ein leitfähiges Metallcarbid enthalten, wie etwa TiN, TaN, WN, TiC, TaC und/oder WC. Die Dicke der metallischen Auskleidung kann in einem Bereich von 1 nm bis 100 nm liegen, wie etwa von 3 nm bis 10 nm, obwohl auch kleinere und größere Dicken verwendet werden können. Das metallische Füllmaterial kann W, Cu, Al, Co, Ru, Mo, Ta, Ti, Ti, TiA1, Pt, andere im Fach bekannte Metalle mit hoher Austrittsarbeit, Legierungen davon und/oder Kombinationen davon umfassen. Es können auch andere geeignete Materialien in dem angedachten Umfang der Offenbarung verwendet werden.
  • Abschnitte des mindestens einen leitfähigen Materials und Abschnitte der durchgängigen halbleitenden Metalloxidauskleidung 31L, die über einer horizontalen Ebene liegen, die die obere Fläche der dielektrischen Schicht 48 umfasst, können durch einen Planarisierungsprozess entfernt werden, der einen CMP-Prozess und/oder einen Aussparungsätzprozess verwenden kann. Es können auch andere geeignete Planarisierungsprozesse verwendet werden. Jeder verbleibende Abschnitt des mindestens einen leitfähigen Materials, das einen Gate-Hohlraum 39 füllt, konstituiert eine Gate-Elektrode 35. Jeder verbleibende Abschnitt der durchgängigen halbleitenden Metalloxidauskleidung 31L konstituiert eine halbleitende Metalloxidauskleidung, die konform auf Seitenwänden eines Gate-Hohlraums 39 gebildet werden kann, und wird hierin als eine konforme halbleitende Metalloxidauskleidung 31 bezeichnet.
  • Die konforme halbleitende Metalloxidauskleidung 31 umfasst einen planaren Abschnitt, der mit einer planaren oberen Fläche des Gate-Dielektrikums 30 und einem röhrenförmigen Abschnitt, der an eine Peripherie des planaren Abschnitts angrenzt und Flächen (d.h. Seitenwände) der dielektrischen Schicht 48 kontaktiert, in Kontakt steht. Der röhrenförmige Abschnitt weist einen Satz vertikaler äußerer Seitenwände auf, die aneinander angrenzen, und einen Satz innerer Seitenwände, die aneinander seitlich von dem Satz vertikaler äußerer Seitenwände um einen einheitlichen seitlichen Versatzabstand, der der Dicke der konformen halbleitenden Metalloxidauskleidung 31 gleich sein kann, versetzt sind, angrenzen. Die Gate-Elektrode 35 kann über der konformen halbleitenden Metalloxidauskleidung 31 gebildet werden.
  • In einer Ausführungsform kann die dielektrische Schicht 48 auf dem Gate-Dielektrikum 30 und der aktiven Schicht 20 angeordnet sein. Eine Source-Elektrode 52 und eine Drain-Elektrode 56 können an Endabschnitten der aktiven Schicht 20 angeordnet und in die dielektrische Schicht 48 eingebettet sein. Die konforme halbleitende Metalloxidauskleidung 31 kontaktiert das Gate-Dielektrikum 30 und ist in der dielektrischen Schicht 48 eingebettet.
  • Unter Bezugnahme auf 13A -13C kann eine obere dielektrische Materialschicht 70 über der dielektrischen Schicht 48 abgeschieden werden. Die obere dielektrische Materialschicht 70 enthält ein dielektrisches Material, wie etwa undotiertes Silikatglas, ein dotiertes Silikatglas, Organosilikatglas, Siliziumnitrid, Siliziumkarbidnitrid, Siliziumoxynitrid oder Kombinationen davon, und sie kann eine Dicke in einem Bereich von 50 nm bis 600 nm aufweisen, wie etwa von 100 nm bis 300 nm, obwohl auch kleinere und größere Dicken verwendet werden können. Metallverbindungsstrukturen oberer Ebene (72,75,76) können in der oberen dielektrischen Materialschicht 70 gebildet werden. Die Metallverbindungsstrukturen oberer Ebene (72,75,76) können Metalldurchkontaktierungsstrukturen und Metallleitungsstrukturen umfassen, die sequenziell gebildet werden, beispielsweise durch Durchführen von zwei Einzeldamaszenermetallstrukturierungssequenzen, oder sie können integrierte Metallleitungs- und Durchkontaktierungsstrukturen umfassen, die durch Durchführen einer Doppeldamaszenermetallstrukturierungssequenz gebildet werden. In einer Ausführungsform können die Metallverbindungsstrukturen oberer Ebene (72,75,76) eine Source-Kontakt-Durchkontaktierungsstruktur 72 umfassen, die die Source-Elektrode 52 kontaktiert, eine Drain-Kontakt-Durchkontaktierungsstruktur 76, die die Drain-Elektrode 56 kontaktiert, und eine Gate-Kontakt-Durchkontaktierungsstruktur 75,die die Gate-Elektrode 35 kontaktiert.
  • Unter Bezugnahme auf 14A - 14C lässt sich eine zweite beispielhafte Struktur gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung aus der ersten beispielhaften Struktur in 13A-13C durch Bilden einer zusätzlichen halbleitenden Metalloxidauskleidung direkt auf einer oberen Fläche der dielektrischen Schicht 48 und auf oberen Flächen der Source-Elektrode 52, der Drain-Elektrode 56, der Gate-Elektrode 35 und der konformen halbleitenden Metalloxidauskleidung 31 vor Abscheiden der oberen dielektrischen Materialschicht 70 ableiten. Die zusätzliche halbleitende Metalloxidauskleidung ist planar (d.h. sie umfasst eine planare obere Fläche, die sich in ihrer Gesamtheit innerhalb einer horizontalen Ebene befindet, und eine planare Bodenfläche, die sich in ihrer Gesamtheit innerhalb einer anderen horizontalen Ebene befindet) und wird hierin als eine planare halbleitende Metalloxidauskleidung 71 bezeichnet.
  • Die planare halbleitende Metalloxidauskleidung 71 kann jedwedes Material umfassen, das für die konforme halbleitende Metalloxidauskleidung 31 verwendet werden kann. Das Material der planaren halbleitenden Metalloxidauskleidung 71 kann das gleiche sein, wie das Material der konformen halbleitenden Metalloxidauskleidung 31 oder ein anderes. Die Dicke der planaren halbleitenden Metalloxidauskleidung 71 kann in einem Bereich von 0,1 nm bis 3 nm liegen, wie etwa von 0,2 nm bis 2 nm, und/oder von 0,3 nm bis 1 nm. Für die Dicke der planaren halbleitenden Metalloxidauskleidung 71 gelten die gleichen Erwägungen wie für die Dicke der durchgängigen halbleitenden Metalloxidauskleidung 31L. Optional kann die planare halbleitende Metalloxidauskleidung 71 vor Abscheiden der oberen dielektrischen Materialschicht 70 strukturiert werden, so dass jeder strukturierte Abschnitt der planaren halbleitenden Metalloxidauskleidung 71 den gesamten Bereich einer darunter liegenden konformen halbleitenden Metalloxidauskleidung 31 abdeckt.
  • In einer Ausführungsform erstreckt sich die planare halbleitende Metalloxidauskleidung 71 horizontal parallel zu einer Grenzfläche zwischen der aktiven Schicht 20 und dem Gate-Dielektrikum 30 und kontaktiert eine planare Fläche der Gate-Elektrode 35, die nicht in Kontakt mit der konformen halbleitenden Metalloxidauskleidung 31 ist. Alle Seitenwände und eine Bodenfläche der Gate-Elektrode 35 können in Kontakt mit der konformen halbleitenden Metalloxidauskleidung 31 stehen.
  • In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung 71 ein Material, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird, und/oder sie besteht im Wesentlichen daraus. In einer Ausführungsform weist die planare halbleitende Metalloxidauskleidung 71 eine Dicke in einem Bereich von 0,1 nm bis 3 nm auf und die aktive Schicht 20 weist eine Dicke auf, die mindestens das Dreifache der Dicke der planaren halbleitenden Metalloxidauskleidung 71 aufweist. Eine obere Fläche sich vertikal erstreckender Abschnitte (d.h. ein röhrenförmiger Abschnitt) der konformen halbleitenden Metalloxidauskleidung 31 kontaktiert eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung 71.
  • In einer Ausführungsform kann die Gate-Elektrode 35 in einem dielektrischen Materialabschnitt eingebettet sein, wie etwa der dielektrischen Schicht 48, die planare halbleitende Metalloxidauskleidung 17 kann erste erste Flächen des dielektrischen Materialabschnitts (wie etwa die dielektrische Schicht 48) kontaktieren und das Gate-Dielektrikum 30 kontaktiert zweite Flächen des dielektrischen Materialabschnitts (wie etwa Seitenwände der dielektrischen Schicht 48).
  • Unter Bezugnahme auf 15A-15C ist eine dritte beispielhafte Struktur gemäß einer dritten Ausführungsform der vorliegenden Offenbarung nach Bilden einer Wortleitung 12, einer Isolierschicht 42, eines Gate-Hohlraums 11 und einer durchgängigen halbleitenden Metalloxidauskleidung 13L veranschaulicht. Die Wortleitung 12 kann auf die gleiche Weise gebildet werden wie die vorstehend beschriebene Körpervorspannungsleitung 112. Während die Körpervorspannungsleitung 112 jedoch verwendet werden kann, um einen Kanalbereich der aktiven Schicht 20 unabhängig elektrisch vorzuspannen, kann die Wortleitung 12 später verwendet werden, um eine später zu bildende Gate-Elektrode elektrisch vorzuspannen. Die Wortleitung 12 kann sich seitlich entlang einer horizontalen Richtung erstrecken, wie etwa der ersten horizontalen Richtung hd1 und der zweiten horizontalen Richtung hd2. Der Gate-Hohlraum 11 kann auf die gleiche Weise gebildet werden, wie der Körperkontakthohlraum 111 (siehe 3A-3C oben). Die seitlichen Abmessungen des Gate-Hohlraums 11 können jedoch derart ausgewählt werden, dass der Gate-Hohlraum 11 sich seitlich über einen aktiven Bereich erstreckt, der später entlang einer Richtung gebildet wird, die sich senkrecht zu der Kanalrichtung erstreckt (d.h. der Richtung des Stromflusses in dem später zu bildenden aktiven Bereich).
  • Die durchgängige halbleitende Metalloxidauskleidung 13L kann die gleiche Materialzusammensetzung und die gleiche Dicke aufweisen wie die vorstehend beschriebene durchgängige halbleitende Metalloxidauskleidung 31L und sie kann durch einen konformen Abscheidungsprozess gebildet werden, wie etwa einem Atomlagenabscheidungsprozess. Die Erwägungen für den Dickebereich der durchgängigen halbleitenden Metalloxidauskleidung 13L sind die gleichen wie die Erwägungen für den Dickebereich der durchgängigen halbleitenden Metalloxidauskleidung 31L.
  • Unter Bezugnahme auf 16A-16C kann mindestens ein leitfähiges Material in verbleibenden Volumen der Gate-Hohlräumen 11 und über der Isolierschicht 42 abgeschieden werden. Das mindestens eine leitfähige Material kann ein optionales metallisches Auskleidungsmaterial und ein metallisches Füllmaterial umfassen. Das optionale metallische Auskleidungsmaterial kann, sofern vorhanden, ein leitfähiges Metallnitrid oder ein leitfähiges Metallkarbid enthalten, wie etwa TiN, TaN, WN, TiC, TaC und/oder WC. Die Dicke der metallischen Auskleidung kann in einem Bereich von 1 nm bis 100 nm liegen, wie etwa von 3 nm bis 10 nm, obwohl auch kleinere und größere Dicken verwendet werden können. Das metallische Füllmaterial kann W, Cu, Al, Co, Ru, Mo, Ta, Ti, Ti, TiA1, Pt, andere im Fach bekannte Metalle mit hoher Austrittsarbeit, Legierungen davon und/oder Kombinationen davon umfassen. Es können auch andere geeignete Materialien in dem angedachten Umfang der Offenbarung verwendet werden.
  • Abschnitte des mindestens einen leitfähigen Materials und Abschnitte der durchgängigen halbleitenden Metalloxidauskleidung 13L, die über einer horizontalen Ebene liegen, die die obere Fläche der Isolierschicht 42 umfasst, können durch einen Planarisierungsprozess entfernt werden, der einen CMP-Prozess und/oder einen Aussparungsätzprozess verwenden kann. Es können auch andere geeignete Planarisierungsprozesse verwendet werden. Jeder verbleibende Abschnitt des mindestens einen leitfähigen Materials, das einen Gate-Hohlraum 11 füllt, konstituiert eine Gate-Elektrode 15. Jeder verbleibende Abschnitt der durchgängigen halbleitenden Metalloxidauskleidung 13L konstituiert eine halbleitende Metalloxidauskleidung, die konform auf Seitenwänden eines Gate-Hohlraums 11 gebildet wird, und wird hierin als eine konforme halbleitende Metalloxidauskleidung 13 bezeichnet.
  • Die konforme halbleitende Metalloxidauskleidung 13 umfasst einen planaren Abschnitt, der mit einer planaren oberen Fläche der Wortleitung 12 und einem röhrenförmigen Abschnitt, der an eine Peripherie des planaren Abschnitts angrenzt und Flächen (d.h. Seitenwände) der Isolierschicht 42 kontaktiert, in Kontakt steht. Der röhrenförmige Abschnitt weist einen Satz vertikaler äußerer Seitenwände auf, die aneinander angrenzen, und einen Satz innerer Seitenwände, die aneinander seitlich von dem Satz vertikaler äußerer Seitenwände um einen einheitlichen seitlichen Versatzabstand, der der Dicke der konformen halbleitenden Metalloxidauskleidung 13 gleich sein kann, versetzt sind, angrenzen. Die Gate-Elektrode 15 wird über der konformen halbleitenden Metalloxidauskleidung 13 gebildet.
  • In einer Ausführungsform kann die konforme halbleitende Metalloxidauskleidung 13 auf einer Bodenfläche und auf Seitenwänden des Gate-Hohlraums 11 gebildet werden und die Gate-Elektrode 15 kann auf der konformen halbleitenden Metalloxidauskleidung 13 innerhalb der Isolierschicht 42 gebildet werden. Obere Flächen der konformen halbleitenden Metalloxidauskleidung 13, die Gate-Elektrode 15 und die Isolierschicht 42 können sich innerhalb einer gleichen horizontalen Ebene befinden. Alle Seitenwände und eine Bodenfläche der Gate- Elektrode 15 können in Kontakt mit der konformen halbleitenden Metalloxidauskleidung 13 stehen.
  • Unter Bezugnahme auf 17A- 17C kann anschließend eine planare halbleitende Metalloxidauskleidung 17 auf den oberen Flächen der konformen halbleitenden Metalloxidauskleidung 13, der Gate-Elektrode 15 und der Isolierschicht 42 abgeschieden werden. Die planare halbleitende Metalloxidauskleidung 17 kann jedwedes Material umfassen, das für die konforme halbleitende Metalloxidauskleidung 13 verwendet werden kann. Das Material der planaren halbleitenden Metalloxidauskleidung 17 kann das gleiche sein, wie das Material der konformen halbleitenden Metalloxidauskleidung 13, oder ein anderes. Die Dicke der planaren halbleitenden Metalloxidauskleidung 17 kann in einem Bereich von 0,1 nm bis 3 nm liegen, wie etwa von 0,2 nm bis 2 nm, und/oder von 0,3 nm bis 1 nm. Für die Dicke der planaren halbleitenden Metalloxidauskleidung 17 gelten die gleichen Erwägungen wie für die Dicke der durchgängigen halbleitenden Metalloxidauskleidung 13L. Optional kann die planare halbleitende Metalloxidauskleidung 17 derart strukturiert werden, dass jeder strukturierte Abschnitt der planaren halbleitenden Metalloxidauskleidung 17 den gesamten Bereich einer darunter liegenden konformen halbleitenden Metalloxidauskleidung 13 abdeckt.
  • In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung 17 ein Material, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird, und/oder sie besteht im Wesentlichen daraus. In einer Ausführungsform weist die planare halbleitende Metalloxidauskleidung 17 eine Dicke in einem Bereich von 0,1 nm bis 3 nm auf. Eine obere Fläche sich vertikal erstreckender Abschnitte (d.h. ein röhrenförmiger Abschnitt) der konformen halbleitenden Metalloxidauskleidung 13 kontaktiert eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung 17.
  • Direkt auf der oberen Fläche der planaren halbleitenden Metalloxidauskleidung 17 kann eine dielektrische Gate-Schicht 10L abgeschieden werden. Die dielektrische Gate-Schicht 10L kann die gleiche Materialzusammensetzung und die gleiche Dicke aufweisen wie die vorstehend beschriebene dielektrische Gate-Schicht 30L.
  • Über der planaren halbleitenden Metalloxidauskleidung 17 kann anschließend eine durchgängige aktive Schicht 20L abgeschieden werden. Die durchgängige aktive Schicht 20L kann die gleiche Materialzusammensetzung und die gleiche Dicke aufweisen, wie vorstehend beschrieben. Die durchgängige aktive Schicht 20L weist eine Dicke auf, die mindestens das Dreifache der Dicke der planaren halbleitenden Metalloxidauskleidung 17 beträgt. Die durchgängige aktive Schicht 20L kann eine Dicke aufweisen, die mindestens das Dreifache der Dicke der konformen halbleitenden Metalloxidauskleidung 13 beträgt.
  • Unter Bezugnahme auf 18A - 18C kann über die durchgängige aktive Schicht 20L eine Photoresistschicht (nicht gezeigt) aufgebracht und lithographisch strukturiert werden, um einen diskreten strukturierten Photoresistmaterialabschnitt zu bilden. Jeder strukturierte Abschnitt der Photoresistschicht kann sich innerhalb der Fläche einer jeweiligen einen der Einheitvorrichtungsflächen befinden. Die Fläche jedes strukturierten Abschnitts der Photoresistschicht kann die Fläche eines Halbleitermetalloxidabschnitts definieren, der anschließend aus der durchgängigen aktiven Schicht 20L strukturiert wird. In einer Ausführungsform kann jeder strukturierte Abschnitt der Photoresistschicht eine horizontale Querschnittsform eines Rechtecks oder eines abgerundeten Rechtecks aufweisen.
  • Die Struktur in der Photoresistschicht kann durch Durchführen eines anisotropen Ätzprozesses durch die durchgängige aktive Schicht 20L, die dielektrische Gate-Schicht 10L und optional die planare halbleitende Metalloxidauskleidung 17 übertragen werden. Strukturierte Abschnitte der durchgängigen aktiven Schicht 20L umfassen aktive Schichten 20, die Halbleitermetalloxidplatten mit einheitlicher Dicke umfassen können. Strukturierte Abschnitte der dielektrischen Gate-Schicht 10L umfassen Gate-Dielektrika 10. Die planare halbleitende Metalloxidauskleidung 17 kann durch den anisotropen Ätzprozess strukturiert werden oder nicht. Seitenwände der aktiven Schicht 20 und das Gate-Dielektrikum 10 in jedem Schichtstapel (20, 10, 17) können vertikal koinzidieren, d.h. sie können sich innerhalb einer gleichen vertikalen Ebene befinden. In Ausführungsformen, in denen die planare halbleitende Metalloxidauskleidung 17 strukturiert ist, können Seitenwände der planaren halbleitenden Metalloxidauskleidung 17 vertikal mit Seitenwänden der aktiven Schicht 20 und des Gate-Elektrikums 10 koinzidieren. Die Photoresistschicht kann danach entfernt werden, beispielsweise durch Veraschung.
  • In einer Ausführungsform kann jede aktive Schicht 20 eine horizontale Querschnittsform eines Rechtecks oder eines abgerundeten Rechtecks aufweisen. In einer Ausführungsform kann jede aktive Schicht 20 eine seitliche Abmessung entlang der ersten horizontalen Richtung hd1 in einem Bereich von 60 nm bis 1.000 nm, wie etwa von 100 nm bis 300 nm, aufweisen, obwohl auch kleinere und größere seitliche Abmessungen verwendet werden können. In einer Ausführungsform kann jede aktive Schicht 20 eine seitliche Abmessung entlang der zweiten horizontalen Richtung hd2 in einem Bereich von 20 nm bis 500 nm, wie etwa von 40 nm bis 250 nm, aufweisen, obwohl auch kleinere und größere seitliche Dimensionen verwendet werden können. Das Verhältnis der seitlichen Abmessung entlang der ersten horizontalen Richtung hd1 zu der seitlichen Abmessung entlang der zweiten horizontalen Richtung hd2 in jeder aktiven Schicht 20 kann in einem Bereich von 0,5 bis 4, wie etwa von 1 bis 2, liegen, obwohl auch kleinere und größere Verhältnisse verwendet werden können.
  • Gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung können eine Gate-Elektrode 15, eine halbleitende Metalloxidauskleidung, wie etwa die planare halbleitende Metalloxidauskleidung 17, ein Gate-Dielektrikum 10 und eine aktive Schicht 20 (beispielsweise in einer räumlichen Reihenfolge entlang einer vertikalen Richtung) über einem Substrat 8 in einer Vorwärtsreihenfolge oder in einer Rückwärtsreihenfolge ausgebildet werden. In der dritten beispielhaften Struktur ist die Reihenfolge eine Vorwärtsreihenfolge.
  • In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung 17 eine horizontale Fläche, die in Kontakt mit einer Gesamtheit einer horizontalen Fläche (wie etwa die obere Fläche) des Gate-Dielektrikums 10 steht. In einer Ausführungsform kann eine Wortleitung 12 eine Bodenfläche der Gate-Elektrode 15 kontaktieren und sie kann sich seitlich entlang einer Längsrichtung erstrecken, die größer ist als eine seitliche Ausdehnung der aktiven Schicht 20 entlang der Längsrichtung (wie etwa die Kanalrichtung) der aktiven Schicht 20 in einer Draufsicht.
  • Unter Bezugnahme auf 19A - 19C können die Verarbeitungsschritte der 7A - 7C zum Bilden einer dielektrischen Schicht 48 durchgeführt werden.
  • Unter Bezugnahme auf 20A - 20C können die Verarbeitungsschritte der 8A - 8C durchgeführt werden, um einen Source-Hohlraum 51 und einen Drain-Hohlraum 59 innerhalb jeder Einheitsvorrichtungsfläche zu bilden.
  • Unter Bezugnahme auf 21A - 21C können die Verarbeitungsschritte der 9A - 9C durchgeführt werden, um eine Source-Elektrode 52 und eine Drain-Elektrode 56 innerhalb jeder Einheitsvorrichtungsfläche zu bilden.
  • Unter Bezugnahme auf 22A - 22C können die Verarbeitungsschritte der 13A - 13C durchgeführt werden, um eine obere dielektrische Materialschicht 70 und Metallverbindungsstrukturen oberer Ebene (72, 76) zu bilden. Die Metallverbindungsstrukturen oberer Ebene (72, 76) können Metalldurchkontaktierungsstrukturen und Metallleitungsstrukturen umfassen, die sequenziell gebildet werden, beispielsweise durch Durchführen von zwei Einzeldamaszenermetallstrukturierungssequenzen, oder sie können integrierte Metallleitungs- und Durchkontaktierungsstrukturen umfassen, die durch Durchführen einer Doppeldamaszenermetallstrukturierungssequenz gebildet werden. In einer Ausführungsform können die Metallverbindungsstrukturen oberer Ebene (72, 76) eine Source-Kontaktdurchkontaktierungsstruktur 72 umfassen, die die Source-Elektrode 52 kontaktiert, und eine Drain-Kontakt-Durchkontaktierungsstruktur 76, die die Drain-Elektrode 56 kontaktiert.
  • Unter Bezugnahme auf 23A - 23C lässt sich eine vierte beispielhafte Struktur gemäß einer vierten Ausführungsform der vorliegenden Offenbarung aus der dritten beispielhaften Struktur in 16A - 16C durch Bilden der planaren halbleitenden Metalloxidauskleidung 17 über der Gate-Elektrode 15 durch Durchführen eines Teilsatzes der Verarbeitungsschritte in 17A - 17C und anschließendem Strukturieren der planaren halbleitenden Metalloxidauskleidung 17 derart, dass die strukturierte planare halbleitende Metalloxidauskleidung 17 die gesamte Fläche der Gate-Elektrode 15 und der konformen halbleitenden Metalloxidauskleidung 13 bedeckt, abgeleitet werden.
  • Unter Bezugnahme auf 24A - 24C können eine dielektrische Gate-Schicht 10L und eine durchgängige aktive Schicht 20L durch Durchführen eines verbleibenden Satzes der Schritte in 17A - 17C gebildet werden. Die Verarbeitungsschritte in 18A - 18C, 19A - 19C, 20A - 20C, 21A - 21C und 22A - 22C können anschließend durchgeführt werden, um die in 24A - 24C veranschaulichte vierte beispielhafte Struktur bereitzustellen.
  • Unter Bezugnahme auf 25A - 25C lässt sich eine alternative Konfiguration der vierten beispielhaften Struktur gemäß der vierten Ausführungsform der vorliegenden Offenbarung aus der in 18A - 18C veranschaulichten dritten beispielhaften Struktur durch Modifizieren des anisotropen Ätzprozesses zum Vermeiden eines Strukturierens der planaren halbleitenden Metalloxidauskleidung 17 ableiten. In dieser Ausführungsform kann die Chemie des Schrittes des anisotropen Ätzprozesses, der die dielektrische Gate-Schicht 10L ätzt, modifiziert werden, so dass er zu dem Material der planaren halbleitenden Metalloxidauskleidung 17 selektiv ist, und der anisotrope Ätzprozess kann nach Strukturieren der dielektrischen Gate-Schicht 10L und ohne Strukturieren der planaren halbleitenden Metalloxidauskleidung 17 beendet werden.
  • Unter Bezugnahme auf 26A - 26C können die Verarbeitungsschritte der 19A - 19C, 20A - 20C, 21A - 21C und 22A - 22C anschließend durchgeführt werden, um die alternative Konfiguration der veranschaulichten vierten beispielhaften Struktur bereitzustellen. Bei dieser Konfiguration kann die planare halbleitende Metalloxidauskleidung 17 einen Bereich umfassen, der sich seitlich außerhalb einer Peripherie der aktiven Schicht in einer Draufsicht erstreckt (d.h. eine Ansicht entlang einer Richtung, die senkrecht zu der oberen Fläche des Substrats 8 ist). Im Allgemeinen umfasst die planare halbleitende Metalloxidauskleidung 17 eine horizontale Fläche (wie etwa eine obere Fläche), die eine Gesamtheit einer horizontalen Fläche (wie etwa eine Bodenfläche) des Gate-Dielektrikums 10 kontaktiert. In einer Ausführungsform ist die Gate-Elektrode 15 in einem dielektrischen Materialabschnitt (wie etwa die Isolationsschicht 42) eingebettet und die planare halbleitende Metalloxidauskleidung 17 kontaktiert Flächen des dielektrischen Materialabschnitts (wie etwa die obere Fläche der Isolationsschicht 42). Das Gate-Dielektrikum 10 kann von dem dielektrischen Materialabschnitt (wie etwa die Isolationsschicht 42) um die planare halbleitende Metalloxidauskleidung 17 vertikal beabstandet sein.
  • Unter Bezugnahme auf 27A - 27C lässt sich eine fünfte beispielhafte Struktur gemäß einer fünften Ausführungsform der vorliegenden Offenbarung aus der dritten in 15A - 15C veranschaulichten beispielhaften Struktur durch Durchführen eines anisotropen Ätzprozesses, der sich horizontal erstreckende Abschnitte der durchgängigen halbleitenden Metalloxidauskleidung 13L ätzt, ableiten. Ein röhrenförmiger, sich vertikal erstreckender Abschnitt der durchgängigen halbleitenden Metalloxidauskleidung 13L verbleibt auf Seitenwänden jedes Gate-Hohlraums 11. Der röhrenförmige, sich vertikal erstreckende Abschnitt der durchgängigen halbleitenden Metalloxidauskleidung 13L wird hierin als eine röhrenförmige halbleitende Metalloxidauskleidung 13' bezeichnet. Im Allgemeinen kann der Gate-Hohlraum 11 in einem oberen Abschnitt der Isolierschicht 42 gebildet werden und die röhrenförmige halbleitende Metalloxidauskleidung 13' kann auf Seitenwänden des Gate-Hohlraums 11 durch Abscheiden und anisotropes Ätzen der durchgängigen halbleitenden Metalloxidauskleidung 13L gebildet werden.
  • Unter Bezugnahme auf 28A - 28C können die Verarbeitungsschritte der 16A - 16C durchgeführt werden, um eine Gate-Elektrode 15 in dem verbleibenden Volumen des Gate-Hohlraums 11 zu bilden. Die Bodenfläche der Gate-Elektrode 15 kann eine obere Fläche einer darunter liegenden Wortleitung 12 kontaktieren. Obere Flächen der Isolierschicht 42, die röhrenförmige halbleitende Metalloxidauskleidung 13' und die Gate-Elektrode 15 können innerhalb einer gleichen horizontalen Ebene gebildet werden.
  • Unter Bezugnahme auf 29A - 29C können die Verarbeitungsschritte der 17A - 17C durchgeführt werden, um sequenziell eine planare halbleitende Metalloxidauskleidung 17, eine dielektrische Gate-Schicht 10L und eine durchgängige aktive Schicht 20L zu bilden. Die planare halbleitende Metalloxidauskleidung 17 kann direkt auf einer oberen Fläche der röhrenförmigen halbleitenden Metalloxidauskleidung 13' gebildet werden. In einer Ausführungsform wird die Gate-Elektrode 15 von der röhrenförmigen halbleitenden Metaloxidauskleidung 13' seitlich umschlossen. Eine innere Peripherie einer Bodenfläche der röhrenförmigen halbleitenden Metalloxidauskleidung 13' koinzidiert mit einer Peripherie einer Bodenfläche der Gate-Elektrode 15 und eine obere Fläche der röhrenförmigen halbleitenden Metalloxidauskleidung 13' kontaktiert eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung 17.
  • Unter Bezugnahme auf 30A - 30C können die Verarbeitungsschritte der 18A - 18C durchgeführt werden, um einen Stapel aus einer planaren halbleitenden Metalloxidauskleidung 17, einem Gate-Dielektrikum 10 und einer aktiven Schicht 20 zu bilden. Im Allgemeinen kann die planare halbleitende Metalloxidauskleidung 17 wie vorstehend beschrieben strukturiert werden oder nicht. Ferner kann die planare halbleitende Metalloxidauskleidung 17 strukturiert werden, um die Fläche der Gate-Elektrode 15 und der röhrenförmigen halbleitenden Metalloxidauskleidung 13' vor Abscheiden der dielektrischen Gate-Schicht 10L zu bedecken.
  • Unter Bezugnahme auf 31A - 31C können die Verarbeitungsschritte der 19A - 19C durchgeführt werden, um eine dielektrische Schicht 48 zu bilden und die Verarbeitungsschritte der 20A - 20C können durchgeführt werden, um einen Source-Hohlraum 51 und einen Drain-Hohlraum 59 zu bilden.
  • Unter Bezugnahme auf 32A - 32C können die Verarbeitungsschritte der 21A - 21C durchgeführt werden, um eine Source-Elektrode 52 und eine Drain-Elektrode 56 zu bilden.
  • Unter Bezugnahme auf 33A - 33C können die Verarbeitungsschritte der 22A - 22C durchgeführt werden, um eine obere dielektrische Materialschicht 70 und Metallverbindungsstrukturen oberer Ebene (72, 76) zu bilden.
  • Unter Bezugnahme auf 34A - 34C lässt sich eine alternative Konfiguration der fünften beispielhaften Struktur gemäß der fünften Ausführungsform der vorliegenden Offenbarung aus der in 30A - 30C veranschaulichten fünften beispielhaften Struktur durch Modifizieren des anisotropen Ätzprozesses zum Vermeiden eines Strukturierens der planaren halbleitenden Metalloxidauskleidung 17 ableiten. In dieser Ausführungsform kann die Chemie des Schrittes des anisotropen Ätzprozesses, der die dielektrische Gate-Schicht 10L ätzt, modifiziert werden, so dass er zu dem Material der planaren halbleitenden Metalloxidauskleidung 17 selektiv ist, und der anisotrope Ätzprozess kann nach Strukturieren der dielektrischen Gate-Schicht 10L und ohne Strukturieren der planaren halbleitenden Metalloxidauskleidung 17 beendet werden.
  • Unter Bezugnahme auf 35A - 35C können die Verarbeitungsschritte der 19A - 19C, 20A - 20C, 21A - 21C und 22A - 22C anschließend durchgeführt werden, um die alternative Konfiguration der veranschaulichten fünften beispielhaften Struktur bereitzustellen. Bei dieser Konfiguration kann die planare halbleitende Metalloxidauskleidung 17 einen Bereich umfassen, der sich seitlich außerhalb einer Peripherie der aktiven Schicht in einer Draufsicht erstreckt (d.h. eine Ansicht entlang einer Richtung, die senkrecht zu der oberen Fläche des Substrats 8 ist). Im Allgemeinen umfasst die planare halbleitende Metalloxidauskleidung 17 eine horizontale Fläche (wie etwa eine obere Fläche), die eine Gesamtheit einer horizontalen Fläche (wie etwa eine Bodenfläche) des Gate-Dielektrikums 10 kontaktiert. In einer Ausführungsform ist die Gate-Elektrode 15 in einem dielektrischen Materialabschnitt (wie etwa der Isolationsschicht 42) eingebettet und die planare halbleitende Metalloxidauskleidung 17 kontaktiert Flächen des dielektrischen Materialabschnitts (wie etwa die obere Fläche der Isolationsschicht 42). Das Gate-Dielektrikum 10 kann von dem dielektrischen Materialabschnitt (wie etwa die Isolationsschicht 42) um die planare halbleitende Metalloxidauskleidung 17 vertikal beabstandet sein.
  • Unter Bezugnahme auf 36A - 36C lässt sich eine sechste beispielhafte Struktur gemäß einer sechsten Ausführungsform der vorliegenden Offenbarung aus der dritten in 16A - 16C veranschaulichten dritten beispielhaften Struktur durch Auslassen der Bildung der durchgängigen halbleitenden Metalloxidauskleidung 13L in den Verarbeitungsschritten in 15A - 15C ableiten. Folglich wird die in 16A - 16C veranschaulichte konforme halbleitende Metalloxidauskleidung 13 in der in 36A - 36C veranschaulichten sechsten beispielhaften Struktur nicht gebildet. Die Gate-Elektrode 15 kann direkt auf einer oberen Fläche einer Wortleitung 12 und direkt auf Seitenwänden der Isolierschicht 42 gebildet werden.
  • Unter Bezugnahme auf 37A - 37C können die Verarbeitungsschritte der 17A - 17C durchgeführt werden, um sequenziell eine planare halbleitende Metalloxidauskleidung 17, eine dielektrische Gate-Schicht 10L und eine durchgängige aktive Schicht 20L abzuscheiden.
  • Unter Bezugnahme auf 38A - 38C können die Verarbeitungsschritte der 18A - 18C durchgeführt werden, um einen Stapel aus einer planaren halbleitenden Metalloxidauskleidung 17, einem Gate-Dielektrikum 10 und einer aktiven Schicht 20 innerhalb jeder Einheitvorrichtungsfläche zu bilden. Die planare halbleitende Metalloxidauskleidung 17 kann mit der gleichen Struktur wie die aktive Schicht 20 strukturiert werden oder auch nicht. Alternativ kann die planare halbleitende Metalloxidauskleidung 17 vor Abscheidung der dielektrischen Gate-Schicht 10L in eine Struktur strukturiert werden, die die gesamte Fläche der Gate-Elektrode 15 bedeckt.
  • Unter Bezugnahme auf 39A - 39C können die Verarbeitungsschritte der 19A - 19C durchgeführt werden, um eine dielektrische Schicht 48 zu bilden und die Verarbeitungsschritte der 20A - 20B können durchgeführt werden, um einen Source-Hohlraum 51 und einen Drain-Hohlraum 59 zu bilden.
  • Unter Bezugnahme auf 40A - 40C können die Verarbeitungsschritte der 21A - 21B durchgeführt werden, um eine Source-Elektrode 52 und eine Drain-Elektrode 56 innerhalb jeder Einheitsvorrichtungsfläche zu bilden.
  • Unter Bezugnahme auf 41A - 41C können die Verarbeitungsschritte der 22A - 22C durchgeführt werden, um eine obere dielektrische Materialschicht 70 und Metallverbindungsstrukturen oberer Ebene (72, 76) zu bilden.
  • Unter Bezugnahme auf 42 ist eine beispielhafte Struktur veranschaulicht, die sich aus einer beliebigen der vorstehend beschriebenen beispielhaften Strukturen durch späteres Bilden zusätzlicher Strukturen darauf ableiten lässt. Es können beispielsweise zweite Metalldurchkontaktierungsstrukturen 632 durch die dielektrische Schicht auf TFT-Ebene 40 und die isolierende Abstandshalterschicht 635 auf einer jeweiligen einen der zweiten Metallleitungsstrukturen 628 gleichzeitig mit, vor oder nach Bildung der Source-Elektroden 52 und der Drain-Elektroden 56 gebildet werden.
  • Über die dielektrische Schicht auf TFT-Ebene 40 kann eine dielektrische Schicht abgeschieden werden, die hierin als eine dritte dielektrische Schicht auf Leitungsebene 637 bezeichnet wird. In der dritten dielektrischen Schicht auf Leitungsebene 637 können auf einer jeweiligen einen der metallischen Strukturen (52, 56, 35, 15), die in der dielektrischen Schicht auf TFT-Ebene 40 eingebettet sind, dritte Metallleitungsstrukturen 638 gebildet werden.
  • Über den Dünnschichttransistoren und der dritten dielektrischen Schicht auf Leitungsebene 637 können später zusätzliche Metallverbindungsstrukturen, die in zusätzlichen dielektrischen Schichten eingebettet sind, gebildet werden. In einem veranschaulichenden Beispiel können die dielektrischen Schichten beispielsweise eine vierte dielektrische Schicht auf Verbindungsebene 640, eine fünfte dielektrische Schicht auf Verbindungsebene 650 usw. enthalten. Die zusätzlichen Metallverbindungsstrukturen können dritte Metalldurchkontaktierungsstrukturen (nicht veranschaulicht) und vierte Metallleitungen 648, die in der vierten dielektrischen Schicht auf Verbindungsebene 640 eingebettet sind, vierte Metalldurchkontaktierungsstrukturen 652 und fünfte Metallleitungsstrukturen 658, die in der fünften dielektrischen Schicht auf Verbindungsebene 650 eingebettet sind, usw. enthalten.
  • Optional können Speicherzellen 15C unter, über oder auf der gleichen Ebene wie die Dünnschichttransistoren gebildet werden. In Ausführungsformen, bei denen die Transistoren als ein zweidimensionales periodisches Array ausgebildet sind, können die Speicherzellen 15C als ein zweidimensionales periodisches Array von Speicherzellen 15C ausgebildet sein. Jede Speicherzelle 15C kann einen magnetischen Tunnelübergang, einen ferroelektrischen Tunnelübergang, ein Phasenwechselspeichermaterial oder einen vakanzmodulierten leitfähigen Oxidmaterialabschnitt enthalten. Ferner kann jede Speicherzelle 15C eine erste Elektrode 126 enthalten, die ein metallisches Material enthält, und eine zweite Elektrode 158, die ein metallisches Material enthält und einen darunterliegenden Datenspeicherabschnitt der Speicherzelle 150 schützt. Zwischen der ersten Elektrode 126 (d.h. der Bodenelektrode) und der zweiten Elektrode 158 (d.h. der oberen Elektrode) wird ein Speicherelement bereitgestellt.
  • In einem veranschaulichenden Beispiel kann die Speicherzelle 150 in Ausführungsformen, bei denen die Speicherzelle 150 einen magnetischen Tunnelübergang enthält, einen Schichtstapel enthalten, der, von unten nach oben, eine erste Elektrode 126, eine metallische Zuchtschicht 128, die kristalline Zucht darüberliegender Materialschichten ermöglicht, eine synthetische Antiferromagnetstruktur (SAF-Struktur) 142, eine Tunnelsperrschicht 146, eine freie Magnetisierungsschicht 148 und eine zweite Elektrode 158 umfasst. Obwohl die vorliegende Offenbarung unter Heranziehung einer Ausführungsform beschrieben ist, bei der Dünnschichttransistoren als Zugangstransistoren für Speicherzellen 150 verwendet werden, werden hierin auch ausdrücklich Ausführungsformen in Betracht gezogen, bei denen die Dünnschichttransistoren als Logikvorrichtungen, als Komponenten einer Peripherieschaltung für ein Speicher-Array oder für jedwede andere Halbleiterschaltungsanordnung verwendet werden.
  • In einer Ausführungsform kann das Substrat 8 ein einzelkristallines Siliziumsubstrat enthalten. Dieleketrische Schichten unterer Ebene (601, 610, 620), in die Metallverbindungsstrukturen unterer Ebene (612, 618, 622, 628) eingebettet sind, können zwischen dem einzelkristallinen Siliziumsubstrat und der Isolierschicht 42 angeordnet sein. Feldeffekttransistoren 701, die einen jeweiligen Abschnitt des einzelkristallinen Siliziumsubstrats als einen Kanal enthalten, können in den dielektrischen Schichten unterer Ebene (601, 610, 620) eingebettet und mit mindestens einer der Gate-Elektroden (15, 35) der Source-Elektroden 52 und der Drain-Elektroden 56 elektrisch verbunden sein.
  • Obwohl eine Ausführungsform beschrieben ist, bei der die Dünnschichttransistoren der vorliegenden Offenbarung als Zugangstransistoren für Speicherzellen 150 verwendet werden, werden hierin auch ausdrücklich Ausführungsformen in Betracht gezogen, bei denen die Dünnschichttransistoren der vorliegenden Offenbarung als Logikvorrichtungen in einer Logikschaltung verwendet werden.
  • Im Allgemeinen können verschiedene Ausführungsformen der vorliegenden Offenbarung verwendet werden, um in einer räumlichen Vorwärtsreihenfolge oder in einer räumlichen Rückwärtsreihenfolge, d.h. von unten nach oben oder von oben nach unten oder von einer Seite zur anderen, eine Gate-Elektrode (15 oder 35), eine halbleitende Metalloxidauskleidung (17 oder 31), ein Gate-Dielektrikum (10 oder 30) und eine aktive Schicht 20 über einem Substrat 8 zu bilden. Die halbleitende Metalloxidauskleidung (17 oder 31) umfasst ein Material, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird und die vorstehend beschriebenen Eigenschaften aufweist.
  • 43 ist eine vertikale Querschnittsansicht einer siebten beispielhaften Struktur gemäß einer achten Ausführungsform der vorliegenden Offenbarung. In der siebten beispielhaften Struktur kann die Gate-Elektrode 15 innerhalb einer Isolierschicht 108 angeordnet sein, die innerhalb eines Substrats 8 oder über einem Substrat 8 angeordnet ist. Die Source-Elektrode 52 und die Drain-Elektrode 56 können durch Abscheiden und Strukturieren mindestens eines metallischen Materials über der aktiven Schicht 20 gebildet werden.
  • 44 ist eine vertikale Querschnittsansicht einer achten beispielhaften Struktur gemäß einer achten Ausführungsform der vorliegenden Offenbarung. In der achten beispielhaften Struktur können das Gate-Dielektrikum 30, die konforme halbleitende Metalloxidauskleidung 31 und die Gate-Elektrode 35 durch Abscheiden eines Schichtstapels gebildet werden, der eine dielektrische Gate-Schicht (wie etwa eine vorstehend beschriebene dielektrische Gate-Schicht 30L), eine durchgängige halbleitende Metalloxidauskleidung (wie etwa die vorstehend beschriebene durchgängige halbleitende Metalloxidauskleidung 31L) und mindestens ein metallisches Gate-Elektrodenmaterial umfasst, und durch Strukturieren des Schichtstapels.
  • 45 ist eine vertikale Querschnittsansicht einer neunten beispielhaften Struktur gemäß einer neunten Ausführungsform der vorliegenden Offenbarung. In der neunten beispielhaften Struktur kann eine konforme halbleitende Metalloxidauskleidung 117 mit einer gleichen Materialzusammensetzung und demselben Dickenbereich wie die vorstehend beschriebene planare halbleitende Metalloxidauskleidung 17 über einer Gate-Elektrode 15 gebildet werden. Über der konformen halbleitenden Metalloxidauskleidung 117 kann ein Gate-Dielektrikum 10 gebildet werden und eine aktive Schicht 20 kann durch konformes Abscheiden und Strukturieren eines Komposithalbleitermaterials (wie etwa ein Halbleitermetalloxidmaterial) gebildet werden. Eine Source-Elektrode 52 und eine Drain-Elektrode 56 können durch Abscheiden und Strukturieren mindestens eines metallischen Materials auf Endabschnitten der aktiven Schicht 20 gebildet werden.
  • 46 ist eine vertikale Querschnittsansicht einer zehnten beispielhaften Struktur gemäß einer zehnten Ausführungsform der vorliegenden Offenbarung. Bei der zehnten beispielhaften Struktur werden eine Source-Elektrode 52 und eine Drain-Elektrode 56 auf einer oberen Fläche einer Isolierschicht 108, die in einem Substrat 8 oder über einem Substrat 8 angeordnet sein kann, gebildet. Über und über die Source-Elektrode 52 und die Drain-Elektrode 56 hinweg kann eine aktive Schicht 20 gebildet werden und anschließend können ein Gate-Dielektrikum 30, eine konforme halbleitende Metalloxidauskleidung 31 und eine Gate-Elektrode 35 gebildet werden.
  • 47 ist eine vertikale Querschnittsansicht einer elften beispielhaften Struktur gemäß einer elften Ausführungsform der vorliegenden Offenbarung. Die elfte beispielhafte Struktur lässt sich aus der neunten beispielhaften Struktur durch Umkehrung der Reihenfolge der Bildung zwischen der aktiven Schicht 20 und der Kombination aus der Source-Elektrode 52 und der Drain-Elektrode 56 ableiten.
  • 48 ist eine vertikale Querschnittsansicht einer zwölften beispielhaften Struktur gemäß einer zwölften Ausführungsform der vorliegenden Offenbarung. Die elfte beispielhafte Struktur lässt sich aus der neunten beispielhaften Struktur durch Umkehrung der Reihenfolge der Bildung zwischen der aktiven Schicht 20 und der Kombination aus der Source-Elektrode 52 und der Drain-Elektrode 56 ableiten.
  • 49 ist eine vertikale Querschnittsansicht einer dreizehnten beispielhaften Struktur gemäß einer dreizehnten Ausführungsform der vorliegenden Offenbarung. Die elfte beispielhafte Struktur lässt sich aus der neunten beispielhaften Struktur durch Bilden eines Gate-Dielektrikums 30 (das auch als ein oberes Gate-Dielektrikum bezeichnet wird), einer konformen halbleitenden Metalloxidauskleidung 31 (die auch als eine obere konforme halbleitende Metalloxidauskleidung bezeichnet wird) und einer Gate-Elektrode 35 (die auch als eine obere Gate-Elektrode bezeichnet wird) ableiten. Die Gate-Elektrode 15 wird als eine Boden-Gate-Elektrode bezeichnet, die konforme halbleitende Metalloxidauskleidung 117 wird als eine konforme halbleitende Boden-Metalloxidauskleidung bezeichnet. Das Gate-Dielektrikum 10 wird als ein Boden-Gate-Dielektrikum bezeichnet. Die dreizehnte beispielhafte Struktur umfasst einen Dünnschichttransistor in einer Doppel-Gate-Konfiguration.
  • 50 ist eine vertikale Querschnittsansicht einer vierzehnten beispielhaften Struktur gemäß einer vierzehnten Ausführungsform der vorliegenden Offenbarung. Die vierzehnte beispielhafte Struktur kann durch Bilden einer Isolierschicht 108 gebildet werden, die innerhalb eines Substrats 8 oder über einem Substrat 8 angeordnet sein kann. Eine dielektrische Schicht 140 kann abgeschieden und derart strukturiert werden, dass eine Seitenwand der dielektrischen Schicht 140 über der Isolierschicht 108 liegt. Mindestens ein metallisches Material kann anisotrop abgeschieden und strukturiert werden, um eine Source-Elektrode 52 und eine Drain-Elektrode 56 zu bilden. Eine von der Source-Elektrode 52 und der Drain-Elektrode 56 wird auf einer horizontalen oberen Fläche der dielektrischen Schicht 140 gebildet und eine andere von der Source-Elektrode 52 und der Drain-Elektrode 56 wird auf einer horizontalen oberen Fläche der Isolierschicht 108 gebildet. Eine vertikale Seitenwand der dielektrischen Schicht 140 erstreckt sich zwischen der Source-Elektrode 52 und der Drain-Elektrode 56. Auf der vertikalen Seitenwand der dielektrischen Schicht 140 zwischen der Source-Elektrode 52 und der Drain-Elektrode 56 kann eine aktive Schicht 20 gebildet werden. Über vertikal verlaufenden Abschnitten der aktiven Schicht 20 werden sequenziell ein Gate-Dielektrikum 30, eine konforme halbleitende Metalloxidauskleidung 31 und eine Gate-Elektrode 35 gebildet.
  • Unter Bezugnahme auf 51 veranschaulicht ein erstes Flussdiagramm erste beispielhafte Verarbeitungsschritte zur Fertigung der Halbleitervorrichtung der vorliegenden Offenbarung. Unter Bezugnahme auf Schritt 5110 und 1 - 6C, 44, 46 und 48 - 50 kann über einem Substrat (8 oder 108) eine aktive Schicht 20 gebildet werden. Unter Bezugnahme auf Schritt 5120 und 7A - 9C, 44, 46 und 48 - 50 können auf Endabschnitten der aktiven Schicht 20 eine Source-Elektrode 52 und eine Drain-Elektrode 56 gebildet werden. Unter Bezugnahme auf Schritt 5130 und 10A - 14C, 44, 46 und 48 - 50 können über der aktiven Schicht 20 eine halbleitende Metalloxidauskleidung (31 und/oder 71) und eine Gate-Elektrode 35 gebildet werden.
  • Unter Bezugnahme auf 52 veranschaulicht ein zweites Flussdiagramm zweite beispielhafte Verarbeitungsschritte zur Fertigung der Halbleitervorrichtung der vorliegenden Offenbarung. Unter Bezugnahme auf Schritt 5210 und 1, 15A - 17C, 23A - 23C, 25A - 25C, 27A - 30C, 34A - 34C, 36A - 38C, 43, 45, 47 und 49 können über einem Substrat (8 oder 108) eine Gate-Elektrode 15 und eine halbleitende Metalloxidauskleidung (13, 13', 17 und/oder 117) gebildet werden. Unter Bezugnahme auf Schritt 5220 und 17A - 17C, 24A - 24C, 25A - 25C, 30A - 30C, 34A - 34C, 38A - 38C, 43, 45, 47 und 49 können ein Gate-Dielektrikum 10 und eine aktive Schicht 20 gebildet werden. Unter Bezugnahme auf Schritt 5230 und 18A - 22C, 24A - 24C, 26A - 26C, 31A - 33C, 35A - 35C, 39A - 41C, 43, 45, 47 und 49 können auf Endabschnitten der aktiven Schicht 20 eine Source-Elektrode 52 und eine Drain-Elektrode 56 gebildet werden.
  • Unter Bezugnahme auf 53 veranschaulicht ein drittes Flussdiagramm allgemeine Verarbeitungsschritte zur Fertigung der Halbleitervorrichtung der vorliegenden Offenbarung. Unter Bezugnahme auf Schritt 5310 und 1 - 6C, 15A - 17C, 23A - 25C, 27A - 30C, 34A - 34C, 36A - 38C und 43 - 50 können über einem Substrat (8 oder 108) in einer Vorwärtsreihenfolge oder in einer Rückwärtsreihenfolge, wie etwa von unten nach oben, von oben nach unten, von einer Seite zur anderen, oder generell von einem räumlichen Bereich zu einem anderen räumlichen Bereich in Reihenfolge, eine Gate-Elektrode (15 oder 35), eine halbleitende Metalloxidauskleidung (13,13', 17, 117, 31 und/oder 71), ein Gate-Dielektrikum (10 und/oder 30) und eine aktive Schicht 20 gebildet werden. Die halbleitende Metalloxidauskleidung (13, 13', 17, 117, 31 und/oder 71) umfasst ein Material, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird. Unter Bezugnahme auf Schritt 5320 und 10A - 14C, 18A - 22C, 24A - 24C, 26A - 26C, 31A - 33C, 35A - 35C, 39A - 41C und 43 - 50 können auf Endabschnitten der aktiven Schicht 20 eine Source-Elektrode 52 und eine Drain-Elektrode 56 gebildet werden.
  • Unter Bezugnahme auf alle Zeichnungen und gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung wird ein Transistor, beispielsweise ein Dünnschichttransistor, bereitgestellt, der umfassen kann: Eine Gate-Elektrode (15 oder 35), die über einem Substrat (8 oder 108) angeordnet ist; eine planare halbleitende Metalloxidauskleidung (17 oder 71), die eine Fläche der Gate-Elektrode (15 oder 35) kontaktiert; ein Gate-Dielektrikum (10 oder 30), das eine Fläche der planaren halbleitenden Metalloxidauskleidung (17 oder 71) kontaktiert; eine aktive Schicht 20, die das Gate-Dielektrikum (10 oder 30) kontaktiert; und eine Source-Elektrode 52 und eine Drain-Elektrode 56, die auf Endabschnitten der aktiven Schicht 20 angeordnet sind.
  • In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung (17 oder 71) ein Material, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird. In einer Ausführungsform weist die planare halbleitende Metalloxidauskleidung (17 oder 71) eine Dicke in einem Bereich von 0,1 nm bis 3 nm auf; und die aktive Schicht 20 weist eine Dicke auf, die mindestens das Dreifache der Dicke der planaren halbleitenden Metalloxidauskleidung (17 oder 71 ) beträgt.
  • In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung (17 oder 71) eine horizontale Fläche, die eine Gesamtheit einer horizontalen Fläche des Gate-Dielektrikums (10 oder 30) kontaktiert. In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung (17 oder 71) einen Bereich, der sich seitlich außerhalb einer Peripherie der aktiven Schicht 20 in einer Draufsicht erstreckt (wie etwa eine Draufsicht entlang einer Richtung senkrecht zu einer oberen Fläche des Substrats (8 oder 108)).
  • In einer Ausführungsform umfasst die planare halbleitende Metalloxidauskleidung (17 oder 71) Seitenwände, die vertikal mit Seitenwänden der aktiven Schicht 20 koinzidieren. In einer Ausführungsform ist die Gate-Elektrode (15 oder 25) in einen dielektrischen Materialabschnitt (wie etwa die Isolierschicht 42 oder die dielektrische Schicht 48) eingebettet und die planare halbleitende Metalloxidauskleidung (17 oder 71) kontaktiert eine erste Fläche des dielektrischen Materialabschnitts. In einer Ausführungsform kann das Gate-Dielektrikum 30 eine zweite Fläche des dielektrischen Materialabschnitts (einschließlich der dielektrischen Schicht 48) kontaktieren.
  • In einer Ausführungsform kann der Transistor eine konforme halbleitende Metalloxidauskleidung (13 oder 31) umfassen, die eine Bodenfläche der Gate-Elektrode (15 oder 35) umgibt und kontaktiert. Eine obere Fläche sich vertikal erstreckender Abschnitte der konformen halbleitenden Metalloxidauskleidung (13 oder 31) kontaktiert eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung (17 oder 71).
  • In einer Ausführungsform kann der Transistor eine röhrenförmige halbleitende Metalloxidauskleidung 13' umfassen, die die Gate-Elektrode 15 seitlich umgibt. Eine innere Peripherie einer Bodenfläche der röhrenförmigen halbleitenden Metalloxidauskleidung 13' koinzidiert mit einer Peripherie einer Bodenfläche der Gate-Elektrode 15 und eine obere Fläche der röhrenförmigen halbleitenden Metalloxidauskleidung 13' kontaktiert eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung 17.
  • In einer Ausführungsform kann der Transistor eine Wortleitung 12 umfassen, die unter der Gate-Elektrode 15 liegt und elektrisch mit dieser verbunden ist und eine seitliche Ausdehnung entlang einer Längsrichtung aufweist, die größer ist als eine seitliche Ausdehnung der aktiven Schicht 20 entlang einer Längsrichtung (wie etwa eine Kanalrichtung, z.B. die erste horizontale Richtung hd1) der aktiven Schicht 20 in einer Draufsicht.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung und verschiedenen Ausführungsformen der vorliegenden Offenbarung wird ein Transistor, beispielsweise ein Dünnschichttransistor, bereitgestellt, der umfassen kann: Eine aktive Schicht 20, die über einem Substrat (8 oder 108) angeordnet ist; ein Gate-Dielektrikum (10 oder 30), das eine Fläche der aktiven Schicht 20 kontaktiert; eine Source-Elektrode 52 und eine Drain-Elektrode 56, die auf Endabschnitten der aktiven Schicht 20 angeordnet sind; eine konforme halbleitende Metalloxidauskleidung (13, 117, 31), die das Gate-Dielektrikum (10 oder 30) kontaktiert; und eine Gate-Elektrode (15 oder 35), die in der konformen halbleitenden Metalloxidauskleidung (13, 117, 31) eingebettet ist. Die Source-Elektrode 52 und die Drain-Elektrode 56 können in einer dielektrischen Schicht 48 eingebettet sein. Die konforme halbleitende Metalloxidauskleidung (13, 117, 31) kann in einem dielektrischen Materialabschnitt eingebettet sein, der ein Abschnitt der dielektrischen Schicht 48 oder ein Abschnitt einer Isolierschicht 42, die unter der dielektrischen Schicht 48 liegt, sein kann.
  • In einer Ausführungsform kann die konforme halbleitende Metalloxidauskleidung 31 umfassen: Einen planaren Abschnitt, der eine Bodenfläche der Gate-Elektrode (15 oder 35) kontaktiert; und einen röhrenförmigen Abschnitt, der an eine Peripherie des planaren Abschnitts angrenzt und Seitenwände der Gate-Elektrode (15 oder 35) kontaktiert.
  • In einer Ausführungsform kann der Transistor eine planare halbleitende Metalloxidauskleidung (17 oder 71) umfassen, die sich parallel zu einer Grenzfläche zwischen der aktiven Schicht 20 und dem Gate-Dielektrikum (10 oder 30) erstreckt und eine planare Fläche der Gate-Elektrode (15 oder 35) kontaktiert, die mit der konformen halbleitenden Metalloxidauskleidung (13, 117, 31) nicht in Kontakt ist.
  • In einer Ausführungsform kann die konforme halbleitende Metalloxidauskleidung (13, 117, 31) ein Material umfassen, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird.
  • In einer Ausführungsform kann der Transistor umfassen: Eine dielektrische Schicht 48, die die aktive Schicht 20, die Source-Elektrode 52 und die Drain-Elektrode 56 seitlich umgibt; eine Source-Kontakt-Durchkontaktierungsstruktur 72, die die Source-Elektrode 52 kontaktiert; und eine Drain-Kontakt-Durchkontaktierungsstruktur 76, die die Drain-Elektrode 56 kontaktiert.
  • Gemäß einem Aspekt der vorliegenden Offenbarung können die halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung in verschiedenen Arten von Back-End-of-Line Dünnschichttransistoren, wie etwa planare Bottom-Gate-Dünnschichttransistoren, planare Top-Gate-Dünnschichttransistoren, Finnen-Dünnschichttransistoren und Nanosheet-Dünnschichttransistoren, verwendet werden. Das halbleitende Metalloxidmaterial der halbleitenden Metalloxidauskleidungen kann das gleiche oder eine anderes sein als das des halbleitenden Metalloxidmaterials der aktiven Schicht. Die halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung absorbieren Wasserstoffatome (die aus dem Abscheidungsprozess erzeugt werden können, der zum Abscheiden des metallischen Materials auf den Gate-Elektroden verwendet wird) und behindern die Diffundierung von Wasserstoffatomen zu angrenzenden Schichten, wie etwa die aktive Schicht.
  • Im Allgemeinen funktionieren die halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung als Diffundierungssperren und können eine Abstandshalterform, eine Rahmenform, eine flache Form, eine U-Form oder diverse andere Formen aufweisen, die durch Kombinationen aus Abscheidung und Strukturierung der zugrundeliegenden Materialien und des Materials der halbleitenden Metalloxidauskleidungen gebildet werden können. Die halbleitenden Metalloxidauskleidungen können auf oder um ein metallisches Gate-Material einer Gate-Elektrode gebildet werden und sie können die Gate-Elektrode verkapseln oder auch nicht. Die halbleitenden Metalloxidauskleidungen können ein Gate-Dielektrikum kontaktieren, das ein dielektrisches Metalloxidmaterial mit einem hohen k-Wert umfassen kann. Die halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung können Steuerung der Diffundierung gasförmiger Spezies (einschließlich Wasserstoff) aus einer metallischen Gate-Elektrode in einen Kanal einer aktiven Schicht zulassen und stellen eine verbesserte Kanalsteuerung bereit. Ferner kann die Leistung eines Dünnschichttransistors durch eine höhere Austrittsarbeit, die von den halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung bereitgestellt wird, verbessert werden. Die halbleitenden Metalloxidauskleidungen können ultradünn sein und sie können eine Dicke in einem Bereich von 0,1 nm bis 3 nm aufweisen. Die zum Bilden der halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung eingesetzten Prozesse sind mit Back-End-of-Line-Verarbeitungsschritten kompatibel und können zum Bilden eines zweidimensionalen Arrays von Dünnschichttransistoren oder eines dreidimensionalen Arrays von Dünnschichttransistoren verwendet werden.
  • In einem veranschaulichenden Beispiel kann Indiumoxid (In2O3), das als eine halbleitende Metalloxidauskleidung verwendet wird, eine hohe Austrittsarbeit von etwa 5,0 eV bereitstellen, wenn es in einem isolierenden Materialabschnitt mit Siliziumoxid verwendet wird. Wenn Indium-Zink-Oxid als eine halbleitende Metalloxidauskleidung in Kombination mit einer Gate-Elektrode, die aus Molybdän besteht, verwendet wird, lässt sich eine Austrittsarbeit von etwa 5,23 eV erzielen. Somit können die halbleitenden Metalloxidauskleidungen der vorliegenden Offenbarung für eine stabilisierte hohe Austrittsarbeit für Dünnschichttransistoren verwendet werden, indem sie Wasserstoff einfangen und Diffundierung von Wasserstoff blockieren.
  • Vorstehend wurde ein Überblick über die Merkmale mehrerer Ausführungsformen gegeben, so dass Fachleute besser die Aspekte der vorliegenden Offenbarung verstehen können. Fachleute werden zu würdigen wissen, dass sich die vorliegende Offenbarung ohne weiteres als Grundlage für den Entwurf oder die Modifikation anderer Prozesse und Strukturen zur Ausführung der gleichen Zwecke und/oder dem Erreichen der gleichen Vorteile der hierin vorgestellten Ausführungsformen verwenden lassen. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sich diverse Veränderungen, Substitutionen und Änderungen daran vornehmen lassen, ohne dass vom Geist und Umfang der vorliegenden Offenbarung abgewichen werden würde.

Claims (20)

  1. Transistor, umfassend: eine Gate-Elektrode, die über einem Substrat angeordnet ist; eine planare halbleitende Metalloxidauskleidung, die eine Fläche der Gate-Elektrode kontaktiert; ein Gate-Dielektrikum, das eine Fläche der planaren halbleitenden Metalloxidauskleidung kontaktiert; eine aktive Schicht, die das Gate-Dielektrikum kontaktiert; und eine Source-Elektrode und eine Drain-Elektrode, die auf Endabschnitten der aktiven Schicht angeordnet sind.
  2. Transistor nach Anspruch 1, wobei die planare halbleitende Metalloxidauskleidung ein Material umfasst, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid gewählt wird.
  3. Transistor nach Anspruch 1 oder 2, wobei: die planare halbleitende Metalloxidauskleidung eine Dicke in einem Bereich von 0,1 nm bis 3 nm aufweist; und die aktive Schicht eine Dicke aufweist, die mindestens das Dreifache der Dicke der planaren halbleitenden Metalloxidauskleidung beträgt.
  4. Transistor nach einem der vorstehenden Ansprüche, wobei die planare halbleitende Metalloxidauskleidung eine horizontale Fläche umfasst, die eine Gesamtheit einer horizontalen Fläche des Gate-Dielektrikums kontaktiert.
  5. Transistor nach Anspruch 4, wobei die planare halbleitende Metalloxidauskleidung einen Bereich umfasst, der sich in einer Draufsicht seitlich außerhalb einer Peripherie der aktiven Schicht erstreckt.
  6. Transistor nach Anspruch 4 oder 5, wobei die planare halbleitende Metalloxidauskleidung Seitenwände umfasst, die vertikal mit Seitenwänden der aktiven Schicht koinzidieren.
  7. Transistor nach einem der vorstehenden Ansprüche 4 bis 6, wobei: die Gate-Elektrode in einem dielektrischen Materialabschnitt eingebettet ist; die planare halbleitende Metalloxidauskleidung eine erste Fläche des dielektrischen Materialabschnitts kontaktiert; und das Gate-Dielektrikum eine zweite Fläche des dielektrischen Materialabschnitts kontaktiert.
  8. Transistor nach einem der vorstehenden Ansprüche, ferner eine konforme halbleitende Metalloxidauskleidung umfassend, die eine Bodenfläche der Gate-Elektrode seitlich umgibt und kontaktiert, wobei eine obere Fläche sich vertikal erstreckender Abschnitte der konformen halbleitenden Metalloxidauskleidung eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung kontaktiert.
  9. Transistor nach einem der vorstehenden Ansprüche, ferner eine röhrenförmige halbleitende Metalloxidauskleidung umfassend, die die Gate-Elektrode seitlich umgibt, wobei eine innere Peripherie einer Bodenfläche der röhrenförmigen halbleitenden Metalloxidauskleidung mit einer Peripherie einer Bodenfläche der Gate-Elektrode koinzidiert, und eine obere Fläche der röhrenförmigen halbleitenden Metalloxidauskleidung eine Bodenfläche der planaren halbleitenden Metalloxidauskleidung kontaktiert.
  10. Transistor nach einem der vorstehenden Ansprüche, ferner eine Wortleitung umfassend, die unter einer Gate-Elektrode liegt und elektrisch mit dieser verbunden ist und eine seitliche Ausdehnung entlang einer Längsrichtung aufweist, die größer ist als eine seitliche Ausdehnung der aktiven Schicht entlang einer Längsrichtung der aktiven Schicht in einer Draufsicht.
  11. Transistor, umfassend: eine aktive Schicht, die über einem Substrat angeordnet ist; ein Gate-Dielektrikum, das eine Fläche der aktiven Schicht kontaktiert; eine Source-Elektrode und eine Drain-Elektrode, die auf Endabschnitten der aktiven Schicht angeordnet sind; eine konforme halbleitende Metalloxidauskleidung, die das Gate-Dielektrikum kontaktiert; und eine Gate-Elektrode, die in der konformen halbleitenden Metalloxidauskleidung eingebettet ist.
  12. Transistor nach Anspruch 11, wobei die konforme halbleitende Metalloxidauskleidung umfasst: einen planaren Abschnitt, der eine Bodenfläche der Gate-Elektrode kontaktiert; und einen röhrenförmigen Abschnitt, der an eine Peripherie des planaren Abschnitts angrenzt und Seitenwände der Gate-Elektrode kontaktiert.
  13. Transistor nach Anspruch 11 oder 12, ferner eine planare halbleitende Metalloxidauskleidung umfassend, die sich parallel zu einer Grenzfläche zwischen der aktiven Schicht und dem Gate-Dielektrikum erstreckt und eine planare Fläche der Gate-Elektrode kontaktiert, die nicht in Kontakt mit der konformen halbleitenden Metalloxidauskleidung ist.
  14. Transistor nach einem der vorstehenden Ansprüche 11 bis 13, wobei die konforme halbleitende Metalloxidauskleidung ein Material umfasst, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird.
  15. Transistor nach einem der vorstehenden Ansprüche 11 bis 14, ferner umfassend: eine dielektrische Schicht, die die aktive Schicht, die Source-Elektrode und die Drain-Elektrode seitlich umgibt; eine Source-Kontakt-Durchkontaktierungsstruktur, die die Source-Elektrode kontaktiert; und eine Drain-Kontakt-Durchkontaktierungsstruktur, die die Drain-Elektrode kontaktiert.
  16. Verfahren zum Bilden eines Transistors, umfassend: Bilden, in einer Vorwärtsreihenfolge oder in einer Rückwärtsreihenfolge, einer Gate-Elektrode, einer halbleitenden Metalloxidauskleidung, eines Gate-Dielektrikums und einer aktiven Schicht über einem Substrat, wobei die halbleitende Metalloxidauskleidung ein Material umfasst, das aus Indium-Gallium-Zink-Oxid (IGZO), Indium-Wolfram-Oxid, Indium-Zink-Oxid, Indium-Zinn-Oxid, Galliumoxid, Indiumoxid, dotiertem Zinkoxid, dotiertem Indiumoxid und dotiertem Cadmiumoxid ausgewählt wird; und Bilden einer Source-Elektrode und einer Drain-Elektrode auf Endabschnitten der aktiven Schicht.
  17. Verfahren nach Anspruch 16, wobei: die Gate-Elektrode innerhalb einer Isolierschicht gebildet wird; und die halbleitende Metalloxidauskleidung auf einer oberen Fläche der Gate-Elektrode und auf einer oberen Fläche der Isolierschicht gebildet wird.
  18. Verfahren nach Anspruch 17, ferner umfassend: Bilden eines Gate-Hohlraums in einem oberen Abschnitt der Isolierschicht; und Bilden einer konformen halbleitenden Metalloxidauskleidung auf einer Bodenfläche und Seitenwänden des Gate-Hohlraums, wobei die Gate-Elektrode auf der konformen halbleitenden Metalloxidauskleidung gebildet wird; und wobei die halbleitende Metalloxidauskleidung eine planare halbleitende Metalloxidauskleidung umfasst, die direkt auf einer oberen Fläche der konformen halbleitenden Metalloxidauskleidung gebildet wird.
  19. Verfahren nach Anspruch 17, ferner umfassend: Bilden eines Gate-Hohlraums in einem oberen Abschnitt der Isolierschicht; und Bilden einer röhrenförmigen halbleitenden Metalloxidauskleidung auf Seitenwänden des Gate-Hohlraums durch Abscheiden und anisotropem Ätzen einer durchgängigen halbleitenden Metalloxidschicht, wobei die Gate-Elektrode auf einem Abschnitt der konformen halbleitenden Metalloxidauskleidung in dem Gate-Hohlraum gebildet wird; und wobei die halbleitende Metalloxidauskleidung eine planare halbleitende Metalloxidauskleidung umfasst, die direkt auf einer oberen Fläche der röhrenförmigen halbleitenden Metalloxidauskleidung gebildet wird.
  20. Verfahren nach Anspruch 16, ferner umfassend: Abscheiden einer dielektrischen Schicht über der aktiven Schicht vor Bilden der Gate-Elektrode; und Bilden eines Gate-Hohlraums durch Aussparen eines Abschnitts der dielektrischen Schicht, die über einem Mittelabschnitt der aktiven Schicht liegt, wobei die halbleitende Metalloxidauskleidung in dem Gate-Hohlraum gebildet wird; und wobei die Gate-Elektrode über der halbleitenden Metalloxidauskleidung gebildet wird.
DE102022100084.2A 2021-05-18 2022-01-04 Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung Pending DE102022100084A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163189945P 2021-05-18 2021-05-18
US63/189,945 2021-05-18
US17/485,848 US20220376075A1 (en) 2021-05-18 2021-09-27 Access transistor including a metal oxide barrier layer and methods for forming the same
US17/485,848 2021-09-27

Publications (1)

Publication Number Publication Date
DE102022100084A1 true DE102022100084A1 (de) 2022-11-24

Family

ID=83898967

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100084.2A Pending DE102022100084A1 (de) 2021-05-18 2022-01-04 Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung

Country Status (5)

Country Link
US (2) US20220376075A1 (de)
KR (1) KR20220156428A (de)
CN (1) CN115377209A (de)
DE (1) DE102022100084A1 (de)
TW (1) TWI825561B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11832451B1 (en) 2021-08-06 2023-11-28 Kepler Computing Inc. High density ferroelectric random access memory (FeRAM) devices and methods of fabrication
US11942133B2 (en) 2021-09-02 2024-03-26 Kepler Computing Inc. Pedestal-based pocket integration process for embedded memory
US11961877B1 (en) 2021-12-14 2024-04-16 Kepler Computing Inc. Dual hydrogen barrier layer for trench capacitors integrated with low density film for logic structures
US11869928B2 (en) 2021-12-14 2024-01-09 Kepler Computing Inc. Dual hydrogen barrier layer for memory devices

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10242982B2 (en) * 2017-03-10 2019-03-26 Globalfoundries Inc. Method for forming a protection device having an inner contact spacer and the resulting devices
US11121025B2 (en) * 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US20210090990A1 (en) * 2019-09-23 2021-03-25 Intel Corporation Contact over active gate structures with metal oxide layers to inhibit shorting

Also Published As

Publication number Publication date
CN115377209A (zh) 2022-11-22
TWI825561B (zh) 2023-12-11
US20220376075A1 (en) 2022-11-24
US20230369440A1 (en) 2023-11-16
KR20220156428A (ko) 2022-11-25
TW202310329A (zh) 2023-03-01

Similar Documents

Publication Publication Date Title
DE102019200120B4 (de) Schaltungen basierend auf komplementären Feldeffekttransistoren
DE102016114573B4 (de) Dreidimensionale Halbleitervorrichtungen mit einem Hohlraum zwischen einer Kanalstruktur und einer Rückstandsschicht
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102007063640B9 (de) Integrierter Schaltkreis mit einer Speicherzellenanordnung
DE102017117845B4 (de) Verfahren zum Bilden einer Anordnung nichtflüchtiger Speicherzellen, Verfahren zum Bilden eines auf einem übergangsfreien Feldeffekttransistor basierenden nichtflüchtigen Speichers und eine auf einem übergangsfreien Feldeffekttransistor basierende nichtflüchtige Speicherstruktur
DE102021100089B4 (de) Dreidimensionale speichervorrichtung und verfahren
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102018110017B4 (de) Halbleiterspeichervorrichtung und herstellungsverfahren dafür
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE102018122648A1 (de) Speichervorrichtungen und Verfahren zum Herstellen derselben
DE102007033017A1 (de) Integrierte Schaltkreise, Verfahren zum Herstellen eines integrierten Schaltkreises, Speichermodule, Computersysteme
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
DE102012108406A1 (de) Halbleitervorrichtungen und Verfahren zur Herstellung derselben
DE102015120464A1 (de) Hochdichter resistiver direktzugriffsspeicher (rram)
DE102018110185A1 (de) Speichervorrichtung und Herstellung dergleichen
DE102018110956A1 (de) Halbleiterspeichervorrichtungen
DE102011004757B4 (de) Vertikale Speichertransistoren mit einem sich frei einstellenden Körperpotential, die in Vollsubstratbauelementen hergestellt sind und vergrabene Abfrage- und Wortleitungen aufweisen und Verfahren zur Herstellung der Speichertransistoren
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102021111157A1 (de) Halbleitende metalloxid-speichervorrichtung mit wasserstoff-vermittelter schwellspannungsmodulation und deren herstellungsverfahren
DE102022100335A1 (de) Dünnschichttransistor mit einer wasserstoff-blockierenden dielektrischen sperrschicht und verfahren zu dessen herstellung
DE102007031877B4 (de) Integrierter Schaltkreis mit einer Zellenanordnung und mit einer Halbleiter-Finnenstruktur sowie Verfahren zu deren Herstellung und Speichermodul
DE102021101243A1 (de) Speicherblock-kanalregionen
DE102020113099A1 (de) Ferroelektrische direktzugriffsspeichervorrichtung mit einem dreidimensionalen ferroelektrischen kondensator
DE102022102950A1 (de) Zugriffstransistoren mit u-förmigem kanal und verfahren zu deren herstellung
DE102021110834A1 (de) Zweischichtiger Kanaltransistor und Verfahren zum Bilden desselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed