TW202230685A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202230685A
TW202230685A TW110130597A TW110130597A TW202230685A TW 202230685 A TW202230685 A TW 202230685A TW 110130597 A TW110130597 A TW 110130597A TW 110130597 A TW110130597 A TW 110130597A TW 202230685 A TW202230685 A TW 202230685A
Authority
TW
Taiwan
Prior art keywords
contact
crystalline metal
metal
seed layer
crystalline
Prior art date
Application number
TW110130597A
Other languages
English (en)
Inventor
金僅祐
金完敦
李憲福
黃允泰
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202230685A publication Critical patent/TW202230685A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供一種半導體裝置,包含:鰭型主動區,在第一方向上在基底上延伸;閘極結構,在與第一方向不同的第二方向上跨鰭型主動區延伸;源極/汲極區,位於閘極結構的一側上的鰭型主動區中;以及第一接觸結構及第二接觸結構,分別連接至源極/汲極區及閘極結構,其中第一接觸結構及第二接觸結構中的至少一者包含晶種層及接觸插塞,晶種層位於閘極結構及源極/汲極區中的至少一者上且包含第一結晶金屬,接觸插塞位於晶種層上且包含與第一結晶金屬不同的第二結晶金屬,且第二結晶金屬在晶種層與接觸插塞之間的界面處與第一結晶金屬實質上晶格匹配。

Description

半導體裝置
[相關申請案的交叉參考]
2020年9月1日在韓國智慧財產局(Korean Intellectual Property Office)申請的且標題為「半導體裝置(Semiconductor Device)」的韓國專利申請案第10-2020-0111054號以全文引用的方式併入本文中。
實施例是關於一種半導體裝置。
半導體裝置可包含積體電路,所述積體電路包含各種電晶體。隨著半導體元件的高密度整合,電晶體的按比例縮小已逐漸加快,且因此接觸的臨界尺寸(critical dimension;CD)亦已減小。
實施例是關於一種半導體裝置,包含:鰭型主動區,在平行於基底的上表面的第一方向上在基底上延伸;閘極結構,在平行於基底的上表面且與第一方向不同的第二方向上跨鰭型主動區延伸;源極/汲極區,位於閘極結構的一側上的鰭型主動區中;絕緣部分,覆蓋閘極結構及源極/汲極區;第一接觸結構,穿透絕緣部分且連接至源極/汲極區;以及第二接觸結構,穿透絕緣部分且連接至閘極結構。第一接觸結構及第二接觸結構中的至少一者可包含晶種層及接觸插塞,所述晶種層位於閘極結構及源極/汲極區中的至少一者上且包含第一結晶金屬,所述接觸插塞位於晶種層上且包含與第一結晶金屬不同的第二結晶金屬。第二結晶金屬可在晶種層與接觸插塞之間的界面處與第一結晶金屬實質上晶格匹配。
實施例亦是關於一種半導體裝置,包含:基底,具有接觸區;第一絕緣層,位於基底上且具有連接至接觸區的第一接觸孔;第一晶種層,位於第一接觸孔中的接觸區上且包含第一結晶金屬;以及第一接觸金屬,位於第一晶種層上,填充第一接觸孔且包含第二結晶金屬。第二結晶金屬可在第一結晶金屬與第二結晶金屬之間的界面處與第一結晶金屬實質上晶格匹配。
實施例亦是關於一種半導體裝置,包含:鰭型主動區,在平行於基底的上表面的第一方向上在基底上延伸;閘極結構,在平行於基底的上表面且與第一方向不同的第二方向上跨鰭型主動區延伸;源極/汲極區,位於閘極結構的一側上的鰭型主動區中;第一接觸結構,連接至源極/汲極區;以及第二接觸結構,連接至閘極結構。第一接觸結構及第二接觸結構中的至少一者可包含晶種層及接觸插塞,所述晶種層位於閘極結構及源極/汲極區中的至少一者上,所述接觸插塞位於晶種層上。晶種層可包含第一結晶導電材料,接觸插塞包含第二結晶導電材料。第一結晶導電材料及第二結晶導電材料可具有相同晶體結構,且第一結晶導電材料及第二導電材料的晶格常數之間的差可小於1%。
圖1為示出根據實例實施例的半導體裝置的主要組件的平面視圖,且圖2A至圖2C為分別沿著線I1-I1'、線I2-I2'以及線II-II'截取的圖1中所示出的半導體裝置的橫截面視圖。
參考圖1及圖2A至圖2C,根據本實例實施例的半導體裝置100可包含在平行於基底101的上表面的第一方向(圖1的X方向)上在基底101上延伸的鰭型主動區105,以及在與第一方向(X方向)不同的第二方向(圖1的Y方向)上跨鰭型主動區105延伸的閘極結構GS。
基底101可包含IV族半導體(諸如Si或Ge)、IV-IV族化合物半導體(諸如SiGe或SiC)或III-V族化合物半導體(諸如GaAs、InAs或InP)。基底101可包含主動區AR。主動區AR可為諸如井的導電區,所述導電區摻雜有雜質或摻雜有雜質的結構。在實例實施例中,主動區AR可為P型金屬氧化物半導體(P-type metal-oxide semiconductor;PMOS)電晶體的N型井,或N型金屬氧化物半導體(N-type metal-oxide semiconductor;NMOS)電晶體的P型井。
鰭型主動區105可位於主動區AR的上表面上。鰭型主動區105可具有在第三方向(圖1的Z方向)(其垂直於第一方向及第二方向)上自主動區AR的上表面凸出的結構。鰭型主動區105在本文中可稱為主動鰭105。
在本實例實施例中,主動鰭105的數目為三個,但不限於此。可形成單個主動鰭105或可形成不同數目的多個主動鰭105。如圖1中所示出,三個主動鰭105可在第二方向上彼此平行地配置於主動區AR上,且可各自在第一方向(X方向)上延伸。可將主動鰭105設置為電晶體的主動區。
裝置隔離膜107可界定主動區AR及主動鰭105。在實例實施例中,裝置隔離膜107可由諸如氧化矽的絕緣材料形成。裝置隔離膜107可包含界定主動區AR的第一隔離區107a,以及界定主動鰭105的第二隔離區107b。第一隔離區107a可具有比第二隔離區107b的底部表面更深的底部表面。第一隔離區107a可為深溝渠隔離(deep trench isolation;DTI)。第一隔離區107a可為淺溝渠隔離(shallow trench isolation;STI)。第二隔離區107b可位於主動區AR上。主動鰭105可穿透第二隔離區107b且部分地自第二隔離區107b的上表面凸出。
閘極結構GS可具有在與第一方向(X方向)交叉的第二方向(Y方向)上延伸的線結構,如圖1中所示出。閘極結構GS可與主動鰭105的區重疊。
閘極結構GS可包含閘極間隔件141、依序安置於閘極間隔件141之間的閘極絕緣層142、閘極電極145以及閘極電極145上的閘極頂蓋層147。
閘極電極145可由摻雜多晶矽、金屬、導電金屬氮化物、導電金屬碳化物或其組合形成。舉例而言,閘極電極145可由以下形成:Al、Cu、Ti、Ta、W、Mo、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC或TaSiN或其組合,但閘極電極145的材料不限於此。在實例實施例中,閘極電極145可包含含功函數金屬層及間隙填充金屬膜。含功函數金屬層可含有自以下選出的至少一種金屬:Ti、W、Ru、Nb、Mo、Hf、Ni、Co、Pt、Yb、Tb、Dy、Er以及Pd。間隙填充金屬膜可為W膜或Al膜。在實例實施例中,閘極電極145可包含TiAlC/TiN/W的堆疊結構、TiN/TaN/TiAlC/TiN/W的堆疊結構或TiN/TaN/TiN/TiAlC/TiN/W的堆疊結構,但不限於此。
閘極絕緣層142可位於閘極電極145的底部表面及側壁上,且可沿著閘極電極145的底部表面在第二方向(圖1的Y方向)上延伸。閘極絕緣層142可插入於閘極電極145與主動鰭105之間,及閘極電極145與裝置隔離膜107的上表面之間。閘極絕緣層142的實例可包含氧化矽膜、氮氧化矽膜、具有介電常數比氧化矽膜的介電常數高的高介電膜以及其組合。高介電膜可由金屬氧化物或金屬氮氧化物形成。舉例而言,可用作閘極絕緣層142的高介電膜可包含HfO 2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、ZrO 2、Al 2O 3或其組合,但不限於此。
閘極頂蓋層147可位於閘極電極145上。閘極頂蓋層147可覆蓋閘極電極145的上表面且在第二方向(圖1的Y方向)上延伸。舉例而言,閘極頂蓋層147可包含氮化矽或氮氧化矽。閘極間隔件141可位於閘極電極145的相對側壁及閘極頂蓋層147的相對側壁上。閘極間隔件141可沿著閘極電極145延伸的方向在閘極電極145的相對側壁上延伸,且閘極絕緣層142可插入於閘極電極145與閘極間隔件141之間。在實例實施例中,閘極間隔件141可包含氧化矽(SiOx)、氮化矽(SiNx)、氮氧化矽(SiOxNy)、碳氮化矽(SiCxNy)、碳氮氧化矽(SiOxCyNz)或其組合。在實例實施例中,閘極間隔件141可包含各自由不同材料形成的多個層。儘管圖2A及圖2B示出閘極間隔件141包含單層的情況,但閘極間隔件141可包含依序堆疊於閘極電極145的側壁上且各自具有不同介電常數的多個間隔件層。
根據本實例實施例的半導體裝置100可包含源極/汲極區110,所述源極/汲極區110位於定位於閘極結構GS的相對側上的主動鰭105的部分區中。
可藉由在主動鰭105的部分區中形成凹槽且在凹槽上執行選擇性磊晶生長(selective epitaxial growth;SEG)來形成源極/汲極區110。源極/汲極區110可由例如Si、SiGe或Ge形成。源極/汲極區110可在N型電晶體與P型電晶體之間具有不同材料或不同形狀。舉例而言,在PMOS電晶體的情況下,源極/汲極區110可由矽鍺(SiGe)形成,且可摻雜有P型雜質(例如,硼(B)、銦(In)或鎵(Ga))。源極/汲極區110的橫截面(Y-Z橫截面,參見圖2C)可具有五邊形形狀。在NMOS電晶體的情況下,源極/汲極區110可由矽形成,且可摻雜有N型雜質(例如,磷(P)、氮(N)、砷(As)或銻(Sb))。源極/汲極區110的橫截面(Y-Z橫截面)可具有六邊形形狀或具有具備平緩角的多邊形形狀。由此,源極/汲極區110、主動鰭105以及閘極結構GS可形成三維半導體元件,諸如鰭式場效電晶體(fin field-effect transistor;FinFET)。
根據本實例實施例的半導體裝置100可包含穿透絕緣部分160且連接至源極/汲極區110的第一接觸結構CS1,以及穿透絕緣部分160且連接至閘極結構GS的閘極電極145的第二接觸結構CS2。
絕緣部分160可包含依序堆疊於源極/汲極區110上的閘極間絕緣膜161及頂蓋絕緣膜162。閘極間絕緣膜161可安置於相鄰閘極結構GS之間,且覆蓋源極/汲極區110及裝置隔離膜107。閘極間絕緣膜161可具有與閘極間隔件141及閘極頂蓋層147的上表面實質上共面的上表面。在實例實施例中,閘極間絕緣膜161或頂蓋絕緣膜162中的至少一者可由氮化矽、氧化矽或氮氧化矽形成。在實例實施例中,閘極間絕緣膜161可由以下形成:TEOS、USG、PSG、BSG、BPSG、FSG、SOG、TOSZ或其組合。閘極間絕緣膜161可藉由例如化學氣相沈積(chemical vapor deposition;CVD)或旋轉塗佈形成。
在本實例實施例中,第一接觸結構CS1及第二接觸結構CS2中的每一者可包含晶種層182及晶種層182上的接觸插塞185。晶種層182可位於閘極電極145及源極/汲極區110中的每一者上。
晶種層182可定位於第一接觸結構CS1及第二接觸結構CS2中的每一者的底部上以增強閘極電極145與接觸插塞185之間及源極/汲極區110與接觸插塞185之間的結合強度。因此,晶種層182可有效防止接觸插塞185在處理期間(諸如化學機械研磨(chemical-mechanical polishing;CMP))掉落,(參見圖6D)。
根據本實例實施例,晶種層182包含第一結晶金屬,且接觸插塞185包含與第一結晶金屬不同的第二結晶金屬。結晶金屬可包含多晶金屬或單晶金屬。晶種層182可由具有相對較低電阻的金屬形成,如接觸插塞185。因此,可減小接觸電阻。在第一結晶金屬及第二結晶金屬為多晶金屬的情況下,接觸插塞185可根據晶種層182(亦即,第一結晶金屬)的粒度而具有增加的粒度。平均自由路徑可由於粒度的增加而減少。因此,接觸插塞185可由具有較低電阻的材料形成。
圖3為圖2A中所示出的半導體裝置的部分「A1」的放大視圖。
參考圖3,金屬矽化物膜120可位於源極/汲極區110上。金屬矽化物膜120可位於源極/汲極區110的凹陷區110R上。金屬矽化物膜120可為結晶矽化物膜。舉例而言,金屬矽化物膜120可為含有以下的矽化物膜:Ti、W、Ru、Nb、Mo、Hf、Ni、Co、Pt、Yb、Tb、Dy、Er、Pd或其組合。在實例實施例中,金屬矽化物膜120可由CoSi、NiSi或TiSi形成。
第一接觸結構CS1可包含金屬矽化物膜120上的晶種層182,以及晶種層182上的接觸插塞185。在本實例實施例中,晶種層182及接觸插塞185中的每一者可由多晶金屬形成。
在本實例實施例中,晶種層182包含第一結晶金屬,所述第一結晶金屬包含第一晶粒G1,且接觸插塞185包含第二結晶金屬,所述第二結晶金屬包含第二晶粒G2。在本實例實施例中,第一晶粒G1及第二晶粒G2分別由接地邊界GB1及接地邊界GB2界定,且可各自具有平面內結晶定向。為了輔助理解,晶粒G1及晶粒G2中的每一者的結晶定向示意性地示出為圖3中的晶粒G1及晶粒G2中的每一者中的對角線。在本實例實施例中,第二結晶金屬的結晶定向視第一結晶金屬(其為晶種層182)的結晶定向而定,且第二結晶金屬可沈積於第一結晶金屬上,如磊晶生長。此類結晶定向可藉由X射線繞射法證實。
如圖4中所示出,第二結晶金屬可在晶種層182與接觸插塞185之間的界面處與第一結晶金屬實質上晶格匹配。亦即,第一晶粒G1中的晶格及與第一晶粒G1相鄰的第二晶粒G2中的晶格可實質上彼此匹配,且相鄰第二晶粒G2中可幾乎不存在由失配引起的電位。此類晶格匹配之條件可基於晶體結構及晶格常數的條件來判定。第一結晶金屬及第二結晶金屬可具有相同晶體結構(例如,體心立方(body centered cubic;BCC)結構)。此外,第一結晶金屬及第二結晶金屬的晶格常數α1及晶格常數α2可實質上彼此相同,或第一結晶金屬及第二結晶金屬的晶格常數α1及晶格常數α2之間的差可明顯較小。舉例而言,第一結晶金屬及第二結晶金屬的晶格常數α1及晶格常數α2之間的差可小於1%。
在實例實施例中,晶種層182及接觸插塞185中的一者可包含鎢(W),且晶種層182及接觸插塞185中的另一者可包含鉬(Mo)。舉例而言,晶種層182可包含鎢(W)且接觸插塞185可包含鉬(Mo)。鎢(W)及鉬(Mo)可具有與圖4中所示出的晶體結構相同的體心立方結構BC1及體心立方結構BC2,且其晶格常數α1及晶格常數α2可分別為3.16埃及3.15埃,且晶格常數α1與晶格常數α2之間的差可小於1%。鉬(作為第二結晶金屬)可沈積於鎢(作為第一結晶金屬)上,如磊晶生長,且相鄰第一晶粒及第二晶粒的晶體晶格可彼此匹配。在此類晶格匹配中,接觸插塞185的晶粒G2可根據晶種層182的晶粒G1的大小而具有增加的大小。隨著粒度增加,可提供具有較低電阻的接觸插塞185。接觸插塞185的晶粒G2可具有(但不限於)7奈米或大於7奈米的大小或10奈米或大於10奈米的大小。在實例實施例中,在接觸插塞185包含鉬的情況下,接觸插塞185的比電阻可為50微歐姆·公分或小於50微歐姆·公分,或10微歐姆·公分或小於10微歐姆·公分。
晶種層182可位於第一接觸結構CS1的第一接觸孔CH1的底部上。接觸插塞185可設置於無障壁膜的第一接觸孔CH1中。接觸插塞185的側壁可與絕緣部分160直接接觸。藉由省略具有相對較大電阻的障壁膜,可減小接觸插塞185與金屬矽化物膜120之間的接觸電阻,且可減小第一接觸結構CS1的大小(特定言之,寬度)。
在實例實施例中,晶種層182的厚度t可為5奈米或小於5奈米,或3奈米或小於3奈米,但不限於此。用於晶種層182的第一結晶金屬可藉由諸如物理氣相沈積(physical vapor deposition;PVD)的沈積來形成以確保所要結晶度。
在本實例實施例中,示出晶種層182由金屬形成的情況。然而,晶種層182亦可由與接觸插塞185的金屬晶格匹配的另一結晶導電材料(例如,結晶金屬化合物)形成。
參考圖5,與閘極結構GS相關的第二接觸結構CS2可實施為較低電阻接觸結構,如第一接觸結構CS1。圖5為示出圖2B中所示出的半導體裝置的部分「A2」的放大視圖。
圖5中所示出的第二接觸結構CS2可包含閘極電極145上的晶種層182以及晶種層182上的接觸插塞185。在本實例實施例中,晶種層182及接觸插塞185中的每一者可由多晶金屬形成。在實例實施例中,在閘極電極145包含多晶矽的情況下,金屬矽化物膜可形成於閘極電極145的接觸區中,如第一接觸結構CS1。
如第一接觸結構CS1,晶種層182包含第一結晶金屬,所述第一結晶金屬包含第一晶粒G1',且接觸插塞185包含第二結晶金屬,所述第二結晶金屬包含第二晶粒G2'。第一晶粒G1'及第二晶粒G2'可分別由接地邊界GB2'界定。在第二接觸結構CS2中,晶種層182亦由多晶金屬形成,如接觸插塞185。然而,由於晶種層182由於相對較小厚度而具有較小面積,因此有可能在一些所選橫截面中觀測不到晶界。
第二結晶金屬的結晶定向視作為晶種層182的第一結晶金屬的結晶定向而定,且第二結晶金屬可沈積於第一結晶金屬上,如磊晶生長。第二結晶金屬可在晶種層182與接觸插塞185之間的界面處與第一結晶金屬實質上晶格匹配。如第一接觸結構CS1,第二接觸結構CS2的晶種層182及接觸插塞185中的一者可包含鎢(W),且晶種層182及接觸插塞185中的另一者可包含鉬(Mo)。舉例而言,晶種層182可包含鎢(W),且接觸插塞185可包含鉬(Mo)。第二接觸結構CS2可藉由與用於第一接觸結構CS1的處理類似的處理形成。
半導體裝置100可包含連接至第一接觸結構CS1及第二接觸結構CS2的佈線190,所述佈線190位於第一接觸結構CS1及接觸結構CS2上。佈線190可包含如後段製程(back end of line;BEOL)的部分所形成的佈線的一部分。
第一層間絕緣層172及第二層間絕緣層173可位於絕緣部分160上。蝕刻終止膜171可安置於絕緣部分160(特定言之,頂蓋絕緣膜162)與第一層間絕緣層172之間。在實例實施例中,蝕刻終止膜171可由氮化矽、碳氮化矽或氮化鋁或氧化鋁形成。在實例實施例中,第一層間絕緣層172及第二層間絕緣層173可由氧化矽、氮化矽或氮氧化矽形成。
佈線190可包含在第一方向(圖1的X方向)上延伸的金屬線ML,以及安置於第一接觸結構CS1及第二接觸結構CS2與金屬線ML之間的金屬通孔(VM)。
第一接觸結構及第二接觸結構上的佈線190可由晶種層182與接觸插塞185組合形成,如第一接觸結構CS1及第二接觸結構CS2。舉例而言,如圖5中所示出,佈線190可包含第二接觸結構CS2(特定言之,接觸插塞185)的上表面的至少部分區中的佈線晶種層192,以及佈線晶種層192上的填充金屬195。
佈線晶種層192可由結晶導電材料形成。如圖5中所示出,佈線晶種層192可形成於接觸插塞185的上表面的暴露於介層窗孔的區中,以及形成於第一層間絕緣層172的上表面(包含介層窗孔的表面)中。在佈線晶種層192中,如圖5中所示出,包含第三晶粒G3的結晶導電材料可沈積於定位於接觸插塞185上的第一區192a中,且非晶形或類非晶形導電材料可沈積於定位於可為非晶形的第一層間絕緣層172的表面上的第二區192b中。
填充金屬195可包含結晶金屬。至少在佈線晶種層192的第一區192a上,填充金屬195的結晶定向可影響與第三晶粒G3及第四晶粒G4相鄰的邊界處的第一區192a中的結晶定向。另外,填充金屬195的晶體生長可甚至在第二區192b(其與第一區192a相鄰)中進行,如第一區192a中的晶體生長。由晶界GB4界定的第四晶粒G4可具有相對較大大小,且因此,佈線190可實施為低電阻結構。在實例實施例中,佈線晶種層192可由導電材料形成,所述導電材料諸如鎢(W)、氮化鈦(TiN)、氮化鉭(TaN)、氮化鈦矽(TiSiN)、碳氮化鎢(WCN)或氮化鎢(WN)。填充金屬195的實例可包含金屬,諸如鉬(Mo)、釕(Ru)、鎢(W)、鈷(Co)以及銅(Cu)。
在實例實施例中,如上文所描述的第一接觸結構CS1及第二接觸結構CS2,可選擇佈線晶種層192及填充金屬195的晶體結構及晶格常數的條件,使得佈線晶種層192的第三晶粒G3及相鄰第四晶粒G4的晶體晶格實質上彼此匹配。在實例實施例中,兩種材料的晶體結構可彼此相同,且兩種材料的晶格常數之間的差可小於1%。舉例而言,佈線晶種層192及填充金屬195中的一者可包含鎢(W),且佈線晶種層192及填充金屬195中的另一者可包含鉬(Mo)。
圖6A至圖6D為示出根據實例實施例的用於製造半導體封裝的方法的主要製程的橫截面視圖。
將參考圖6A至圖6D詳細描述在上文所描述的半導體裝置100中形成第一接觸結構的製程。為便於解釋,橫截面事圖繪示圖2A的部分「A1」的放大視圖,如圖3中所示出。此處,除非另外清楚地指示,否則儘管示意性地示出本實例實施例的組件中的一些,但可參考圖1至圖5中所示出的實例實施例的相同或相似組件的描述來理解彼等組件。
參考圖6A,可形成連接至源極/汲極區110的第一接觸孔CH1,且可在源極/汲極區110的暴露於第一接觸孔CH1的表面上形成金屬矽化物膜120。
可藉由使用蝕刻遮罩依序地蝕刻閘極間絕緣膜161及頂蓋絕緣膜162來形成界定第一接觸結構的第一接觸孔CH1。源極/汲極區110的部分區可暴露於第一接觸孔CH1。在形成第一接觸孔CH1的製程中,源極/汲極區110的暴露部分區可凹陷至預定深度。在此製程中,亦可形成界定第二接觸結構的第二接觸孔CH2。
金屬矽化物膜120可藉由在源極/汲極的暴露於第一接觸孔CH1的凹陷區上形成金屬層及執行退火來形成於源極/汲極區110的暴露區上。
接著,參考圖6B,晶種層182可沈積於金屬矽化物膜120上。
晶種層182可包含結晶金屬,諸如多晶金屬或單晶金屬。晶種層182可藉由諸如PVD的線性沈積形成以確保足夠結晶度。藉由此類線性沈積,晶種層182可不僅形成於定位於第一接觸孔CH1的底部上的金屬矽化物膜120的所要部分上,且亦形成於絕緣部分160的上表面上。可在後續處理中移除定位於絕緣部分160上的晶種材料層182T。晶種層182可具有(但不限於)5奈米或小於5奈米的厚度,或3奈米或小於3奈米的厚度。
可考慮與在後續處理中形成的接觸插塞185(圖6D)晶格匹配的條件來選擇用以形成晶種層182的材料。在實例實施例中,晶種層182可包含鎢(W)或鉬(Mo)。用以形成晶種層182的材料可包含適合於與接觸插塞185的金屬晶格匹配的上述條件的導電材料,諸如結晶金屬化合物。舉例而言,晶種層182可包含導電材料,諸如鎢(W)、氮化鈦(TiN)、氮化鉭(TaN)、氮化矽鈦(TiSiN)、碳氮化鎢(WCN)或氮化鎢(WN)。
接著,參考圖6C,可藉由使用晶種層182來形成接觸插塞185以填充第一接觸孔CH1。
在此處理中,可形成接觸插塞的金屬材料層185'以在填充第一接觸孔CH1的同時覆蓋絕緣部分160的上表面。金屬材料層185'可由諸如鉬(Mo)、釕(Ru)、鎢(W)、鈷(Co)或銅(Cu)的金屬形成。接觸插塞的金屬材料層185'可根據晶種層182的結晶定向生長以具有特定定向,且可具有相對較大粒度。金屬材料層185'可包含適合於與晶種層182晶格匹配的結晶金屬。兩種材料的晶體結構可彼此相同,且兩種材料的晶格常數之間的差可小於1%。舉例而言,金屬材料層185'可包含鉬(Mo)或鎢(W)。在實例實施例中,晶種層182可包含鎢(W),且金屬材料層185'可包含鉬(Mo)。
在本實例實施例中,金屬材料層185'可設置於無障壁膜的第一接觸孔CH1中。藉由省略具有相對較大電阻的障壁膜,可減小接觸插塞185與金屬矽化物膜120之間的接觸電阻,且可減小第一接觸結構CS1的大小。在本實例實施例中,接觸插塞的金屬材料層185'可與第一接觸孔CH1的內側壁處的絕緣部分160直接接觸。
接著,參考圖6D,可藉由研磨移除定位於絕緣部分160上的接觸插塞材料185T及晶種材料層182T。舉例而言,可執行諸如化學機械研磨(CMP)的平坦化處理以暴露頂蓋絕緣膜162的上表面。可移除金屬材料層185'(圖6C)的定位於頂蓋絕緣膜162上的部分,且可保留金屬材料層185'的僅填充第一接觸孔CH1的部分且提供為接觸插塞185。接觸插塞185可具有與絕緣部分160的上表面實質上共面的上表面。接著,可使用BEOL製程以在後續處理中形成連接至第一接觸結構CS1的佈線190,以及第一層間絕緣層172及第二層間絕緣層173。第二接觸結構CS2可藉由與用於第一接觸結構CS1的處理類似的處理形成。
接觸結構可以各種形式進行修改。舉例而言,形成晶種層的區可視沈積變化,且在接觸結構的堆疊中,晶種層及接觸金屬的組合可變化。
圖7及圖8為根據各種實例實施例的可用於半導體裝置的接觸結構的橫截面視圖。橫截面視圖繪示圖2A的部分「A1」的放大視圖,如圖3中所示出。
可理解,除第一接觸結構CS1'包含延伸至第一接觸孔CH1的內側壁的一部分的晶種層182'以外,圖7中所示出的第一接觸結構CS1'與圖3中所示出的第一接觸結構CS1類似。此外,除非另外明確指示,否則可參考圖1至圖4(特定言之,圖3)中所示出的實例實施例的相同或相似組件的描述來理解本實例實施例的組件。
在本實例實施例中,晶種層182'形成於第一接觸孔CH1的底部上,且亦具有在第一接觸孔CH1的內側壁上延伸的部分182E。晶種層182'的延伸部分182E可包含自金屬矽化物膜120生長的結晶部分(其可為結晶)。接觸插塞185可自晶種層182'的表面生長,且可根據晶種層182'的結晶定向具有結晶定向。兩種材料可在接觸插塞185與晶種層182'之間的界面處實質上彼此晶格匹配,且因此,接觸插塞185可具有相對較大粒度。
示出第一接觸結構CS1'具有較小大小的情況。具有較小大小的第一接觸結構CS1'具有相對較小橫截面積。因此,即使在接觸插塞185包含多晶金屬的情況下,亦有可能在一些所選橫截面中觀測不到晶界。
第一接觸結構CS1'的大小可界定為底部寬度W及高度H。第一接觸結構CS1'的底部寬度W可為(但不限於)20奈米或小於20奈米,或15奈米或小於15奈米。在實例實施例中,第一接觸結構CS1'的高度H與底部寬度W的縱橫比可為3:1或大於3:1。
參考圖8,除第一接觸結構CS1"包含延伸至第一接觸孔CH1的整個內側壁或幾乎整個內側壁的晶種層182",且晶種層182"的延伸第二部分182b不由結晶金屬形成以外,可理解圖8中所示出的第一接觸結構CS1"與圖3中所示出的第一接觸結構CS1類似。此外,除非另外明確指示,否則可參考圖1至圖4(特定言之,圖3)中所示出的實例實施例的相同或相似組件的描述來理解本實例實施例的組件。
在本實例實施例中,晶種層182"包含定位於第一接觸孔CH1的底部上的第一部分182a,以及在第一接觸孔CH1的內側壁上延伸的第二部分182b。晶種層182"的第二部分182b可沿著第一接觸孔CH1的幾乎整個內側壁安置。第一部分182a可包含自金屬矽化物膜120生長的結晶(單晶或多晶)部分,且第二部分182b可根據充當內壁表面的絕緣部分160而為非晶形的或類非晶形的。接觸插塞185可自晶種層182"的第一部分182a的表面生長,且可根據第一部分182a的結晶定向具有結晶定向。兩種材料可在接觸插塞185與晶種層182"的第一部分182a之間的界面處實質上彼此晶格匹配,且因此,接觸插塞185可具有相對較大粒度,由此提供低電阻接觸結構。
圖9為示出根據實例實施例的可用於半導體裝置的接觸結構的橫截面視圖。橫截面視圖繪示圖2B的部分「A2」的放大視圖,如圖5中所示出。
除形成第一晶種層182'及第二晶種層192的位置以外,可理解圖9中所示出的第二接觸結構CS2'及金屬通孔VM的堆疊與圖5中所示出的第二接觸結構CS2及金屬通孔VM的堆疊類似。此外,除非另外明確指示,否則可參考圖1、圖2A至圖2C以及圖5(特定言之,圖5)中所示出的實例實施例的相同或相似組件的描述來理解本實例實施例的組件。
在本實例實施例中,晶種層182'包含定位於第二接觸孔CH2的底部上的第一部分182a',以及在第二接觸孔CH2的內側壁上延伸的第二部分182b'。不同於上文所描述的實例實施例,晶種層182'的第二部分182b'可沿著第二接觸孔CH2的側壁的一部分安置且可為非晶形的或類非晶形的。另一方面,第一部分182a'可包含自金屬矽化物膜120生長的結晶(單晶或多晶)部分,且接觸插塞185可自晶種層182'的第一部分182a'的表面生長,且根據第一部分182a'的結晶定向具有結晶定向。兩種材料的晶體晶格可在接觸插塞185與晶種層182'的第一部分182a之間的界面處實質上彼此匹配。
佈線190'可包含接觸插塞185的上表面的部分區中的佈線晶種層192',以及佈線晶種層192'上的填充金屬195。佈線晶種層192'可包含結晶導電材料,填充金屬195可自佈線晶種層192'的表面生長且根據晶種層192'的結晶定向具有結晶定向。兩種材料可在接觸插塞185與晶種層192'之間的界面處實質上彼此晶格匹配。
由此,接觸插塞185及填充金屬195可具有相對較大粒度,由此分別提供低電阻接觸結構及佈線結構。
亦可將上述佈線190及佈線190'施加至另一類型的半導體裝置。舉例而言,包含如圖2A至圖2C中所示出的鰭型通道區的鰭式電晶體(FinFET)已描述為根據實例實施例的半導體裝置,但半導體裝置不限於此。根據一些實例實施例的半導體裝置可包含穿隧場效電晶體(tunneling field-effect transistor;FET)、包含奈米線的電晶體、包含奈米薄片的電晶體(即,多橋通道FET(multi-bridge channel FET;MBCFET)(註冊商標))或各種三維(three-dimensional;3D)電晶體。
圖10為示出根據實例實施例的包含作為半導體裝置的奈米薄片的電晶體(N-MOSFET)的橫截面視圖。
參考圖10,除對應於上文所描述的實例實施例的鰭型主動區105的結構為使用奈米薄片的多通道結構以外,可理解半導體裝置100A與圖1至圖5中所示出的半導體裝置類似。此外,除非另外明確指示,否則可參考圖1至圖5中所示出的實例實施例的相同或相似組件的描述來理解本實例實施例的組件。
如圖10中所示出,半導體裝置100A可包含多個通道層CL及閘極電極145,所述多個通道層CL位於主動區AR上以便在與基底101的上表面垂直的第三方向(圖1的Z方向)上彼此間隔開且各自形成於奈米薄片結構中,所述閘極電極145圍繞多個通道層CL且在與第三方向(圖1的Z方向)交叉的第二方向(圖1的Y方向)上延伸。由此,閘極電極145可插入於閘極間隔件141之間,及多個通道層CL之間。
半導體裝置100A可包含源極/汲極區110,所述源極/汲極區110位於定位於閘極電極145的相對側上的主動區AR的一部分中,源極/汲極區110連接至多個通道層CL。在本實例實施例中,源極/汲極區110可位於定位於閘極電極145的相對側上的鰭型主動區105中,且可分別在第一方向(例如,X方向)上連接至多個通道層CL的相對側。在本實例實施例中,示出了通道層CL的數目為三個的情況,但通道層CL的數目不特定地限制於此。通道層CL可包含半導體圖案。舉例而言,半導體圖案可由矽(Si)、矽鍺(SiGe)或鍺(Ge)中的至少一者形成。
源極/汲極區110可包含藉由使用多個通道層CL及主動區AR作為晶種形成的再生長磊晶區。源極/汲極區110可由矽(Si)形成,且可摻雜有N型雜質(例如,磷(P)、氮(N)、砷(As)或銻(Sb))。
根據本實例實施例的半導體裝置100A可包含內部間隔件IS,所述內部間隔件IS安置於各別源極/汲極區110與閘極電極145之間。內部間隔件IS可設置於閘極電極145的一側上。內部間隔件IS及通道層CL可沿著第三方向交替配置。源極/汲極區110中的每一者可與通道層CL接觸,且可在具有插入於其間的內部間隔件IS時與閘極電極145間隔開。閘極絕緣層142可插入於閘極電極145與每一通道層CL之間,且可在閘極電極145與每一內部間隔件IS之間延伸。
源極/汲極區110可包含經合併的三個再生長磊晶區,且凹陷區110R可形成於連接至接觸孔的源極/汲極區110的上表面中。金屬矽化物膜120位於源極/汲極區110的凹陷區110R的表面上。類似於上文所描述的實例實施例,第一接觸結構CS1可包含金屬矽化物膜120上的晶種層182,以及晶種層182上的接觸插塞185。
晶種層182及接觸插塞185中的每一者可包含多晶金屬。晶種層182及接觸插塞185可分別包含第一結晶金屬及第二結晶金屬。第二結晶金屬的結晶定向視作為晶種層182的第一結晶金屬的結晶定向而定,且第二結晶金屬可沈積於第一結晶金屬上,如磊晶生長。第二結晶金屬可在晶種層182與接觸插塞185之間的界面處與第一結晶金屬的晶格實質上晶格匹配。
在實例實施例中,第一結晶金屬及第二結晶金屬可具有相同晶體結構(例如,體心立方(BCC)結構)。此外,第一結晶金屬及第二結晶金屬的晶格常數可實質上彼此相同,或第一結晶金屬及第二結晶金屬的晶格常數之間的差可明顯較小。舉例而言,第一結晶金屬及第二結晶金屬的晶格常數α1及晶格常數α2之間的差可小於1%。在實例實施例中,晶種層182及接觸插塞185中的一者可包含鎢(W),且晶種層182及接觸插塞185中的另一者可包含鉬(Mo)。因此,接觸插塞185的晶粒可根據晶種層182的粒度而具有增加的大小。隨著粒度增加,可提供具有較低電阻的接觸插塞185。儘管未示出,但連接至閘極電極145的第二接觸結構可實施為低電阻接觸結構,如第一接觸結構CS1。
第一接觸結構CS1上的佈線190可包含佈線晶種層192以及佈線晶種層192上的填充金屬195。如上文所描述的第一接觸結構CS1,佈線晶種層192的晶粒及填充金屬195的相鄰晶粒的晶格可實質上彼此匹配,從而實施具有較低電阻的佈線190。
圖11為示出根據實例實施例的包含作為半導體裝置的奈米薄片的電晶體(P-MOSFET)的橫截面視圖。
參考圖11,如圖10中所示出的實例實施例,半導體裝置100B具有使用奈米薄片的多通道結構,且藉由P-MOSFET實施。類似於上文所描述的實例實施例,可理解根據本實例實施例的半導體裝置100B與圖1至圖5及圖10中所示出的半導體裝置類似。此外,除非另外明確指示,否則可參考圖1及圖5至圖10中所示出的實例實施例的相同或相似組件的描述來理解本實例實施例的組件。
參考圖11,如上文所描述的實例實施例(圖10),根據本實例實施例的半導體裝置100B可包含多個通道層CL及閘極電極145,所述多個通道層CL位於主動區AR上以便在與基底101的上表面垂直的第三方向(圖1的Z方向)上彼此間隔開且各自形成於奈米薄片結構中,所述閘極電極145圍繞多個通道層CL且在與第一方向(圖1的Z方向)交叉的第二方向(圖1的Y方向)上延伸。此外,閘極電極145可插入於閘極間隔件141之間,及多個通道層CL之間。
半導體裝置100B可包含源極/汲極區110',所述源極/汲極區110'位於定位於閘極電極145的相對側上的主動區AR的一部分中,源極/汲極區110'連接至多個通道層CL。源極/汲極區110'可位於定位於閘極電極145的相對側上的鰭型主動區105中,且可分別在第一方向(例如,X方向)上連接至多個通道層CL的相對側。源極/汲極區110'可包含藉由使用多個通道層CL及主動區AR作為晶種形成的磊晶層。不同於上文所描述的實例實施例(圖10),源極/汲極區110'可由矽鍺(SiGe)形成,且可摻雜有P型雜質(例如,硼(B)、銦(In)、鎵(Ga)、三氟化硼(BF 3))。
不同於上文所描述的實例實施例,在根據本實例實施例的半導體裝置100B中,源極/汲極區110'及閘極電極145可在無內部間隔件IS的情況下彼此直接接觸。
源極/汲極區110'可包含經合併的三個再生長磊晶區(Y方向上的橫截面可具有五邊形形狀),且金屬矽化物膜120'可沿著源極/汲極區110'的凹入晶面形成。第一接觸結構CS1'可包含金屬矽化物膜120'上的晶種層182',以及晶種層182'上的接觸插塞185。
晶種層182'及接觸插塞185中的每一者可包含多晶金屬。晶種層182'及接觸插塞185可分別包含第一結晶金屬及第二結晶金屬。第二結晶金屬的結晶定向視作為晶種層182'的第一結晶金屬的結晶定向而定,且第二結晶金屬可沈積於第一結晶金屬上,如磊晶生長。特定言之,與晶種層182'與接觸插塞185之間的界面相鄰的第二結晶金屬的晶格可與第一結晶金屬的晶格匹配。在此類匹配過程中,接觸插塞185的晶粒可根據晶種層182'的粒度而具有增加的大小。隨著粒度增加,可提供具有較低電阻的接觸插塞185。儘管未示出,但可以類似方式實施連接至閘極電極145的第二接觸結構。
在實例實施例中,第一接觸結構CS1上的佈線190可包含佈線晶種層192以及佈線晶種層192上的填充金屬195。如上文所描述的第一接觸結構CS1,佈線晶種層192的晶粒及填充金屬195的相鄰晶粒的晶格可實質上彼此匹配,以實施具有較低電阻的佈線190。
圖12為示出根據實例實施例的半導體裝置的橫截面視圖。
圖12中所示出的半導體裝置200可包含具有接觸區的基底211、位於基底211上且具有連接至接觸區CA的第一接觸孔VH1的第一層間絕緣層212以及第一接觸孔VH1中的接觸區上的接觸結構230。
接觸結構230可包含由第一結晶金屬形成的第一晶種層232,以及位於第一晶種層232上、填充第一接觸孔VH1且由第二結晶金屬形成的第一接觸金屬235。第二結晶金屬可在第一結晶金屬與第二結晶金屬之間的界面處與第一結晶金屬實質上晶格匹配。在本實例實施例中,示出導電線220的部分區提供為接觸區CA的情況。然而,如上文所描述的實例實施例,接觸區CA可為類似於源極/汲極區的主動區,或金屬矽化物膜。
根據本實例實施例的半導體裝置200包含第一層間絕緣層212上的蝕刻終止膜215、位於蝕刻終止膜215上且具有連接至接觸結構230的第二接觸孔VH2的第二層間絕緣層213以及位於第二層間絕緣層213中且具有經由第二接觸孔VH2連接至接觸結構230的金屬通孔VM的佈線250。
佈線250可包含由第三結晶金屬形成的第二晶種層252,以及位於第二晶種層252上、填充第二接觸孔VH2且由第四結晶金屬形成的第二接觸金屬255。第四結晶金屬可在第三結晶金屬與第四結晶金屬之間的界面處與第三結晶金屬實質上晶格匹配。
由此,第三結晶金屬及第四結晶金屬可具有適合於晶格匹配的相同晶體結構,且第三結晶金屬及第四結晶金屬的晶格常數之間的差可小於1%。與第一結晶金屬及第三結晶金屬的粒度相比,第二結晶金屬及第四結晶金屬可具有相對較大粒度(例如,7奈米或大於7奈米),且因此接觸結構230及佈線250的電阻可減小。
在實例實施例中,第一結晶金屬可與第三結晶金屬相同,且第二結晶金屬可與第四結晶金屬相同。舉例而言,第一結晶金屬及第三結晶金屬可為鎢(W),且第二結晶金屬及第四結晶金屬可為鉬(Mo)。
藉助於概述及綜述,由於接觸的臨界尺寸(CD)減小,因此接觸電阻可增大且可產生各種缺陷。
如上文所闡述,實施例可提供具有極佳可靠度的半導體裝置。如上文所闡述,根據實例實施例,晶種層可形成於定位於第一接觸結構及第二接觸結構的底部上的區中的每一者中以提高接觸插塞的結合強度。因此,可有效地防止接觸插塞在化學機械研磨(CMP)期間掉落。此外,接觸插塞形成為具有與晶種層的晶格匹配的晶格,使得接觸插塞的粒度可增加,由此極大地減小接觸電阻。
本文中已揭示實例實施例,且儘管採用特定術語,但僅以一般及描述性意義而非出於限制目的來使用及解釋所述實例實施例。在一些例子中,如所屬領域中具通常知識者截至本申請案申請時將顯而易見,除非另外特別指示,否則結合特定實施例描述的特徵、特性及/或元件可單獨使用或與結合其他實施例描述的特徵、特性及/或元件組合使用。因此,所屬領域中具通常知識者將理解,可在不脫離如以下申請專利範圍中所闡述的本發明的精神及範疇的情況下在形式及細節上作出各種改變。
100、100A、100B、200:半導體裝置 101、211:基底 105:鰭型主動區 107:裝置隔離膜 107a:第一隔離區 107b:第二隔離區 110:源極/汲極區 110R:凹陷區 120、120':金屬矽化物膜 141:閘極間隔件 142:閘極絕緣層 145:閘極電極 147:閘極頂蓋層 160:絕緣部分 161:閘極間絕緣膜 162:頂蓋絕緣膜 171、215:蝕刻終止膜 172:第一層間絕緣層 173:第二層間絕緣層 182、182'、182":晶種層 182a:第一部分 182b:第二部分 182E:延伸部分 182T:晶種材料層 185:接觸插塞 185':金屬材料層 185T:接觸插塞材料 190、190'、250:佈線 192、192':佈線晶種層 192a:第一區 192b:第二區 195:填充金屬 212:第一層間絕緣層 213:第二層間絕緣層 220:導電線 230:接觸結構 232:第一晶種層 235:第一接觸金屬 252:第二晶種層 255:第二接觸金屬 A1、A2:部分 AR:主動區 BC1、BC2:體心立方結構 CA:接觸區 CH1、VH1:第一接觸孔 CH2、VH2:第二接觸孔 CL:通道層 CS1、CS1'、CS1":第一接觸結構 CS2、CS2':第二接觸結構 G1、G1':第一晶粒 G2、G2':第二晶粒 G3:第三晶粒 G4:第四晶粒 GB1、GB2、GB2':接地邊界 GB4:晶界 GS:閘極結構 H:高度 I1-I1'、I2-I2'、II-II':線 IS:內部間隔件 ML:金屬線 VM:金屬通孔 W:底部寬度 t:厚度 X:第一方向 Y:第二方向 Z:第三方向 α1、α2:晶格常數
藉由參考隨附圖式詳細描述實例實施例,特徵將對所屬領域中具通常知識者變得顯而易見,在圖式中: 圖1為示出根據實例實施例的半導體裝置的平面視圖。 圖2A至圖2C為分別沿著線I1-I1'、線I2-I2'以及線II-II'截取的圖1中所示出的半導體裝置的橫截面視圖。 圖3為示出圖2A中所示出的半導體裝置的部分「A1」的放大視圖。 圖4為示出圖3中所示出的接觸結構中的晶種層與接觸插塞之間的界面處的晶體結構的示意圖。 圖5為示出圖2B中所示出的半導體裝置的部分「A2」的放大視圖。 圖6A至圖6D為示出根據實例實施例的用於製造半導體封裝的方法的主要製程的橫截面視圖。 圖7及圖8為根據各種實例實施例的可用於半導體裝置的接觸結構(在側表面處形成)(精細結構的形成)的橫截面視圖。 圖9為示出根據實例實施例的可用於半導體裝置的接觸結構的橫截面視圖。 圖10為根據實例實施例的半導體裝置的橫截面視圖。 圖11為根據實例實施例的半導體裝置的橫截面視圖。 圖12為示出根據實例實施例的半導體裝置的橫截面視圖。
105:鰭型主動區
110:源極/汲極區
110R:凹陷區
120:金屬矽化物膜
141:閘極間隔件
142:閘極絕緣層
145:閘極電極
147:閘極頂蓋層
160:絕緣部分
161:閘極間絕緣膜
162:頂蓋絕緣膜
171:蝕刻終止膜
172:第一層間絕緣層
182:晶種層
185:接觸插塞
A1:部分
CH1:第一接觸孔
CS1:第一接觸結構
G1:第一晶粒
G2:第二晶粒
GB1、GB2:接地邊界
t:厚度

Claims (20)

  1. 一種半導體裝置,包括: 鰭型主動區,在平行於基底的上表面的第一方向上在所述基底上延伸; 閘極結構,在平行於所述基底的所述上表面且與所述第一方向不同的第二方向上跨所述鰭型主動區延伸; 源極/汲極區,位於所述閘極結構的一側上的所述鰭型主動區中; 絕緣部分,覆蓋所述閘極結構及所述源極/汲極區; 第一接觸結構,穿透所述絕緣部分且連接至所述源極/汲極區;以及 第二接觸結構,穿透所述絕緣部分且連接至所述閘極結構,其中: 所述第一接觸結構及所述第二接觸結構中的至少一者包含晶種層及接觸插塞,所述晶種層位於所述閘極結構及所述源極/汲極區中的至少一者上且包含第一結晶金屬,所述接觸插塞位於所述晶種層上且包含與所述第一結晶金屬不同的第二結晶金屬,且 所述第二結晶金屬在所述晶種層與所述接觸插塞之間的界面處與所述第一結晶金屬實質上晶格匹配。
  2. 如請求項1所述的半導體裝置,其中所述第一結晶金屬及所述第二結晶金屬具有相同晶體結構。
  3. 如請求項2所述的半導體裝置,其中所述第一結晶金屬及所述第二結晶金屬的晶格常數之間的差小於1%。
  4. 如請求項1所述的半導體裝置,其中所述第一結晶金屬及所述第二結晶金屬中的一者為鎢,且所述第一結晶金屬及所述第二結晶金屬中的另一者為鉬。
  5. 如請求項1所述的半導體裝置,其中所述第一接觸結構及所述第二接觸結構中的所述至少一者的底部寬度為20奈米或小於20奈米。
  6. 如請求項5所述的半導體裝置,其中所述晶種層具有5奈米或小於5奈米的厚度。
  7. 如請求項1所述的半導體裝置,其中所述晶種層位於所述第一接觸結構及所述第二接觸結構中的所述至少一者的底部上。
  8. 如請求項7所述的半導體裝置,其中所述接觸插塞與所述第一接觸結構及所述第二接觸結構中的所述至少一者的側壁處的所述絕緣部分直接接觸。
  9. 如請求項8所述的半導體裝置,其中所述晶種層具有在所述第一接觸結構及所述第二接觸結構中的所述至少一者的所述側壁處的所述絕緣部分上延伸的延伸部分。
  10. 如請求項9所述的半導體裝置,其中所述晶種層的定位於所述底部上的一部分包含單晶或多晶部分,且所述晶種層的所述延伸部分包含非晶形部分。
  11. 如請求項1所述的半導體裝置,其中所述第一接觸結構及所述第二接觸結構中的所述至少一者包含所述第二接觸結構,所述閘極結構包含閘極電極,且所述晶種層位於所述閘極電極上。
  12. 如請求項1所述的半導體裝置,更包括: 層間絕緣層,位於所述絕緣部分上;以及 金屬線,包含穿透所述層間絕緣層的金屬通孔。
  13. 如請求項12所述的半導體裝置,其中: 所述金屬線包含: 額外晶種層,位於所述金屬通孔及所述第一接觸結構及所述第二接觸結構中的所述至少一者處且包含第三結晶金屬;以及 填充金屬,位於所述額外晶種層上且包含與所述第三結晶金屬不同的第四結晶金屬,且 所述第四結晶金屬在所述額外晶種層與所述填充金屬之間的界面處與所述第三結晶金屬實質上晶格匹配。
  14. 一種半導體裝置,包括: 基底,具有接觸區; 第一絕緣層,位於所述基底上且具有連接至所述接觸區的第一接觸孔; 第一晶種層,位於所述第一接觸孔中的所述接觸區上且包含第一結晶金屬;以及 第一接觸金屬,位於所述第一晶種層上,填充所述第一接觸孔且包含第二結晶金屬, 其中所述第二結晶金屬在所述第一結晶金屬與所述第二結晶金屬之間的界面處與所述第一結晶金屬實質上晶格匹配。
  15. 如請求項14所述的半導體裝置,其中所述第一結晶金屬及所述第二結晶金屬具有相同晶體結構,且所述第一結晶金屬及所述第二結晶金屬的晶格常數之間的差小於1%。
  16. 如請求項15所述的半導體裝置,其中所述第二結晶金屬的粒度為7奈米或大於7奈米。
  17. 如請求項14所述的半導體裝置,更包括: 第二絕緣層,位於所述第一絕緣層上且具有連接至所述第一接觸金屬的第二接觸孔; 第二晶種層,位於所述第二接觸孔中的所述第一接觸金屬上且包含第三結晶金屬;以及 第二接觸金屬,位於所述第二晶種層上,填充所述第二接觸孔且包含第四結晶金屬, 其中所述第四結晶金屬在所述第三結晶金屬與所述第四結晶金屬之間的界面處與所述第三結晶金屬實質上晶格匹配。
  18. 如請求項17所述的半導體裝置,其中所述第一結晶金屬為與所述第三結晶金屬相同的材料,且所述第二結晶金屬為與所述第四結晶金屬相同的材料。
  19. 如請求項18所述的半導體裝置,其中所述第一結晶金屬及所述第三結晶金屬為鎢,且所述第二結晶金屬及所述第四結晶金屬為鉬。
  20. 一種半導體裝置,包括: 鰭型主動區,在平行於基底的上表面的第一方向上在所述基底上延伸; 閘極結構,在平行於所述基底的所述上表面且與所述第一方向不同的第二方向上跨所述鰭型主動區延伸; 源極/汲極區,位於所述閘極結構的一側上的所述鰭型主動區中; 第一接觸結構,連接至所述源極/汲極區;以及 第二接觸結構,連接至所述閘極結構,其中: 所述第一接觸結構及所述第二接觸結構中的至少一者包含晶種層及接觸插塞,所述晶種層位於所述閘極結構及所述源極/汲極區中的至少一者上,所述接觸插塞位於所述晶種層上, 所述晶種層包含第一結晶導電材料,所述接觸插塞包含第二結晶導電材料,且 所述第一結晶導電材料及所述第二結晶導電材料具有相同晶體結構,且所述第一結晶導電材料及所述第二結晶導電材料的晶格常數之間的差小於1%。
TW110130597A 2020-09-01 2021-08-19 半導體裝置 TW202230685A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2020-0111054 2020-09-01
KR1020200111054A KR20220030456A (ko) 2020-09-01 2020-09-01 반도체 장치

Publications (1)

Publication Number Publication Date
TW202230685A true TW202230685A (zh) 2022-08-01

Family

ID=80221756

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130597A TW202230685A (zh) 2020-09-01 2021-08-19 半導體裝置

Country Status (5)

Country Link
US (1) US11631769B2 (zh)
KR (1) KR20220030456A (zh)
CN (1) CN114121948A (zh)
DE (1) DE102021121297A1 (zh)
TW (1) TW202230685A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960008558B1 (en) 1993-03-02 1996-06-28 Samsung Electronics Co Ltd Low resistance contact structure and manufacturing method of high integrated semiconductor device
KR100273767B1 (ko) * 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
KR20010046141A (ko) * 1999-11-10 2001-06-05 구본준 박막 트랜지스터 및 배선 제조방법
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7960838B2 (en) * 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
US8124473B2 (en) * 2007-04-12 2012-02-28 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
JP2009038103A (ja) * 2007-07-31 2009-02-19 Fujitsu Microelectronics Ltd 半導体装置の製造方法と半導体装置
KR101380875B1 (ko) * 2007-11-05 2014-04-03 삼성디스플레이 주식회사 금속 배선 및 그 형성 방법
US20090236627A1 (en) * 2008-03-19 2009-09-24 Jang-Sub Kim Method of forming metal wiring
US8778797B2 (en) * 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US9034760B2 (en) * 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
KR101988522B1 (ko) * 2012-09-11 2019-06-12 엘지디스플레이 주식회사 저저항 배선 형성방법 및 그를 이용한 박막 트랜지스터 제조방법
KR102235614B1 (ko) * 2014-09-17 2021-04-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9685555B2 (en) * 2014-12-29 2017-06-20 Stmicroelectronics, Inc. High-reliability, low-resistance contacts for nanoscale transistors
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
KR20180018510A (ko) * 2015-06-18 2018-02-21 인텔 코포레이션 반도체 구조체들을 위한 금속 피처들의 BUF(Bottom-Up Fill)
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
KR102593707B1 (ko) * 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
KR102285271B1 (ko) * 2017-04-03 2021-08-03 삼성전자주식회사 반도체 장치
KR102336827B1 (ko) * 2017-06-08 2021-12-09 삼성전자주식회사 반도체 장치
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11444173B2 (en) * 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US10629693B2 (en) * 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US10541199B2 (en) * 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10340384B2 (en) * 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
JP7341125B2 (ja) * 2018-04-27 2023-09-08 株式会社半導体エネルギー研究所 半導体装置
US10867848B2 (en) * 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10854716B2 (en) * 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US11342229B2 (en) * 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11342225B2 (en) * 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
KR20210024367A (ko) * 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자
US11158539B2 (en) * 2019-10-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for barrier-less plug
US11088251B2 (en) * 2019-10-01 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
KR20210090768A (ko) * 2020-01-10 2021-07-21 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11302784B2 (en) * 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having contact feature and method of fabricating the same
US11532750B2 (en) * 2020-02-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11393756B2 (en) * 2020-03-16 2022-07-19 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems
KR20210129795A (ko) * 2020-04-20 2021-10-29 삼성전자주식회사 반도체 소자
US11742404B2 (en) * 2020-04-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11616062B2 (en) * 2020-04-30 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation for multigate device
US11569382B2 (en) * 2020-06-15 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11158634B1 (en) * 2020-06-15 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Backside PN junction diode
US11355637B2 (en) * 2020-06-30 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11574870B2 (en) * 2020-08-11 2023-02-07 Micron Technology, Inc. Microelectronic devices including conductive structures, and related methods
KR20220022780A (ko) * 2020-08-19 2022-02-28 삼성전자주식회사 반도체 소자
US11515162B2 (en) * 2020-08-31 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
US11955379B2 (en) * 2020-09-15 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Metal adhesion layer to promote metal plug adhesion
US20220102522A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Low resistance and reduced reactivity approaches for fabricating contacts and the resulting structures
US20220102510A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Dual contact process with stacked metal layers
US11335702B1 (en) * 2020-11-13 2022-05-17 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
US20220069129A1 (en) 2022-03-03
KR20220030456A (ko) 2022-03-11
DE102021121297A1 (de) 2022-03-03
US11631769B2 (en) 2023-04-18
CN114121948A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
US10622459B2 (en) Vertical transistor fabrication and devices
US11837645B2 (en) Method of manufacturing a semiconductor device
US11610888B2 (en) Semiconductor device having cap layer
US11967595B2 (en) Semiconductor devices with nanowires and methods for fabricating the same
US10516028B2 (en) Transistor with asymmetric spacers
US11855168B2 (en) Semiconductor device and manufacturing method thereof
TW202230685A (zh) 半導體裝置
US20230197806A1 (en) Semiconductor device including a gate structure
US20230343699A1 (en) Field effect transistor with source/drain via and method
US20230178600A1 (en) Semiconductor Device Structure and Method for Forming the Same
TW202418557A (zh) 半導體裝置
KR20220116715A (ko) 반도체 장치 제조 방법