DE102015114904B4 - Halbleitervorrichtungsstrukturen und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur - Google Patents

Halbleitervorrichtungsstrukturen und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur Download PDF

Info

Publication number
DE102015114904B4
DE102015114904B4 DE102015114904.4A DE102015114904A DE102015114904B4 DE 102015114904 B4 DE102015114904 B4 DE 102015114904B4 DE 102015114904 A DE102015114904 A DE 102015114904A DE 102015114904 B4 DE102015114904 B4 DE 102015114904B4
Authority
DE
Germany
Prior art keywords
gate stack
protective element
semiconductor device
metal gate
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015114904.4A
Other languages
English (en)
Other versions
DE102015114904A1 (de
Inventor
Che-Cheng Chang
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/743,768 external-priority patent/US9450099B1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015114904A1 publication Critical patent/DE102015114904A1/de
Application granted granted Critical
Publication of DE102015114904B4 publication Critical patent/DE102015114904B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

Halbleitervorrichtungsstruktur, umfassend:einen Metallgatestapel (123) über einem Halbleitersubstrat (100), wobei der Metallgatestapel (123) eine Gatedielektrikumsschicht (118), eine Austrittsarbeitsschicht (120) und eine durch die Austrittsarbeitsschicht (120) umgebene leitfähige Elektrode (122') umfasst,ein Schutzelement (126) über dem Metallgatestapel (123), wobei eine Oberseite (126t) des Schutzelements (126) breiter ist als eine Unterseite (126b) des Schutzelements (126), wobei eine obere Fläche (122t) der leitfähigen Elektrode (122') sich zwischen der Oberseite (126t) und der Unterseite (126b) des Schutzelements (126) befindet und wobei die obere Fläche (122t) der leifähigen Elektrode (122') sich auf einem höheren Höhenniveau als jene (118t,120t) der Austrittsarbeitschicht (120) und der Gatedielektrikumsschicht (118) befindet,ein Spacerelement (106,108) über einer Seitenfläche (126s) des Schutzelements (126) und einer Seitenwand des Metallgatestapels (123), undeinen leitfähigen Kontakt (130), der mit einem leitfähigen Element (112) über dem Halbleitersubstrat (100) elektrisch verbunden ist, wobei der leitfähige Kontakt (130) in direktem Kontakt mit dem Spacerelement (106,108) steht, und wobei ein Kurzschluss zwischen dem Metallgatestapel (123) und dem leitfähigen Kontakt (130) verhindert ist.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie für integrierte Halbleiterschaltungen (IC) hat ein sehr schnelles Wachstum erfahren. Technologische Fortschritte in IC-Materialien und -Design haben Generationen von ICs hervorgebracht. Jede Generation weist kleinere und komplexere Schaltungen als die vorangegangene Generation auf.
  • Die Funktionsdichte (d.h. die Anzahl miteinander verschalteter Bauelemente pro Chipfläche) ist im Laufe der IC-Entwicklung grundsätzlich gestiegen, während die geometrische Größe (d.h. die kleinste Komponente (oder Leiterbahn), die unter Verwendung eines Fertigungsverfahrens erzeugt werden kann) kleiner geworden ist. Dieser Miniaturisierungsprozess (Skalierung) bringt grundsätzlich Vorteile, indem die Produktionseffizienz gesteigert und die damit verbundenen Kosten gesenkt werden.
  • Diese Fortschritte führten jedoch zu einer Steigerung der Komplexität der Verarbeitung und Herstellung von ICs. Da Merkmalgrößen immer kleiner werden, wird die Durchführung der Fertigungsprozesse immer schwieriger. Daher besteht eine Herausforderung darin, zuverlässige Halbleitervorrichtungen in zunehmend kleineren Größen auszubilden.
  • Die Patentanmeldung US 2014 / 0 374 805 A1 offenbart ein Halbleiterbauteil und eine Herstellungsmethode von Halbleiterbauteilen einschließlich der Verwendung einer Ätzstopschicht über einem Gatestapel, wobei die Ätzstopschicht eine größere Breite an einer Oberseite als an einer Unterseite aufweist, sodass ein elektrischer Kontakt einer Durchkontaktierung mit dem Gatestapel unwahrscheinlicher wird.
  • Die Patentanmeldung US 2014 / 0 203 333 A1 offenbart ein Halbleiterbauteil mit einem modifizierten metallischen Gate-Profil, wobei an das Gate-Profil angrenzende Abstandshalter eine Breite an einer Oberseite aufweisen, welche kleiner ist als eine Breite an einer Unterseite. Zusätzlich werden unterschiedliche Höhen einer Austrittsarbeitsschicht und einer metallischen Schicht des Gate-Profils offenbart.
  • Die Patentschrift US 8 772 168 B2 offenbart das Bilden einer dielektrischen Kappe für eine Ersatz-Gate-Struktur, wobei die dielektrische Kappe vertikale, trapez-förmige, T-förmige oder Y-förmige Seitenwände aufweisen kann. Die dielektrische Kappe erlaubt dabei die Verwendung von selbst-ausrichtenden Kontakten.
  • Die Patentanmeldung US 2013 / 0 181 265 A1 offenbart ein Verfahren zum Bilden einer Kappenschicht über einer Ersatz-Gate-Struktur und eine dementsprechend hergestellte Halbleiterstruktur, wobei die Kappenschicht die Ersatz-Gate-Struktur vor einem Kurzschluss mit einem leitenden Kontakt schützt.
  • Die Patentschrift US 8 048 790 B2 offenbart ein Verfahren, um eine selbst-ausrichtende Ätzstopschicht über einer Ersatz-Gate-Struktur herzustellen. Diese Ätzstoppschicht liegt über seitlich von der Gate-Struktur abgesetzten Spacern und verhindert damit einen unbeabsichtigten Kurschluss zwischen der Gate-Struktur und einem leitfähigen Kontakt.
  • Die Patentanmeldung WO 2015 / 021 670 A1 offenbart Halbleiterstrukturen und entsprechende Herstellungsverfahren, wobei ein Gate-Stapel in einer durch Spacer definierten Aussparung hergestellt wird und durch eine dielektrische Schutzschicht über der Gate-Struktur vor einem Kurzschluss mit einem leitfähigen Kontakt geschützt wird.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Zeichnungen gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert sein.
    • 1A bis 1I sind Querschnittsansichten verschiedener Stufen eines Prozesses zum Ausbilden einer Halbleitervorrichtungsstruktur gemäß einigen Ausführungsformen.
    • 2 ist eine Querschnittsansicht einer Halbleitervorrichtungsstruktur gemäß einigen Ausführungsformen.
    • 3A ist eine Querschnittsansicht einer Halbleitervorrichtungsstruktur gemäß einigen anspruchsgemäßen Ausführungsformen.
    • 3B ist eine Querschnittsansicht einer Halbleitervorrichtungsstruktur gemäß einigen anspruchsgemäßen Ausführungsformen.
    • 3C ist eine Querschnittsansicht einer Halbleitervorrichtungsstruktur gemäß einigen Ausführungsformen.
    • 3D ist eine Querschnittsansicht einer Halbleitervorrichtungsstruktur gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die erfindungsgemäße Lösung für eine miniaturisierte Halbleitervorrichtung wird durch eine Vorrichtung nach den Ansprüchen 1 und 8 sowie durch ein Herstellungsverfahren nach Anspruch 12 bereitgestellt.
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des vorliegenden Gegenstands bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, zur Erleichterung der Besprechung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden.
  • Einige Ausführungsformen der Offenbarung sind beschrieben. 1A bis 1I sind Querschnittsansichten verschiedener Stufen eines Prozesses zum Ausbilden einer Halbleitervorrichtungsstruktur gemäß einigen Ausführungsformen. Zusätzliche Vorgänge können vor, während und/oder nach den in 1A bis 1I beschriebenen Stufen bereitgestellt werden. Einige der beschriebenen Stufen können für andere Ausführungsformen ersetzt oder eliminiert werden. Zusätzliche Merkmale können der Halbleitervorrichtungsstruktur hinzugefügt werden. Einige der nachstehend beschriebenen Merkmale können für andere Ausführungsformen ersetzt oder eliminiert werden.
  • Wie in 1A dargestellt, wird ein Halbleitersubstrat 100 bereitgestellt. In einigen Ausführungsformen ist das Halbleitersubstrat 100 ein Bulk-Halbleitersubstrat, wie z.B. ein Halbleiter-Wafer. Zum Beispiel ist das Halbleitersubstrat 100 ein Siliziumwafer. Das Halbleitersubstrat 100 kann Silizium oder ein anderes Elementhalbleitermaterial, wie z.B. Germanium, umfassen. In einigen anderen Ausführungsformen umfasst das Halbleitersubstrat 100 einen Verbindungshalbleiter. Der Verbindungshalbleiter kann Galliumarsenid, Siliziumkarbid, Indiumarsenid, Indiumphosphid, einen anderen geeigneten Verbindungshalbleiter oder eine Kombination davon umfassen.
  • In einigen Ausführungsformen umfasst das Halbleitersubstrat 100 ein SOI-Substrat (Silizium auf einem Isolator). Das SOI-Substrat kann unter Verwendung eines SIMOX-Prozesses (Trennung durch Sauerstoffimplantation), eines Waferbondprozesses, eines anderen geeigneten Verfahrens oder einer Kombination davon gefertigt werden.
  • In einigen Ausführungsformen werden eine oder mehrere Finnenstrukturen ausgebildet. Wie in 1A dargestellt, ist eine der Finnenstrukturen (die Finnenstruktur 101) gezeigt. In einigen Ausführungsformen werden mehrere Aussparungen (oder Gräben) (nicht dargestellt) in dem Halbleitersubstrat 100 ausgebildet. Folglich werden mehrere Finnenstrukturen, die eine Finnenstruktur 101 umfassen, zwischen den Aussparungen ausgebildet. In einigen Ausführungsformen werden ein oder mehrere fotolithografische und Ätzprozesse zum Ausbilden der Aussparungen verwendet.
  • Wie in 1A dargestellt, werden gemäß einigen Ausführungsformen Isolationsmerkmale (nicht dargestellt) in den Aussparungen ausgebildet, die einen unteren Abschnitt der Finnenstruktur 101 umgeben. Die Isolationsmerkmale werden verwendet, um verschiedene in und/oder über dem Halbleitersubstrat 100 ausgebildete Vorrichtungselemente zu definieren und elektrisch zu isolieren. In einigen Ausführungsformen umfassen die Isolationsmerkmale STI-Merkmale (flache Grabenisolation), LOCOS-Merkmale (lokale Oxidation von Silizium), ein anderes geeignetes Isolationsmerkmal oder eine Kombination davon.
  • In einigen Ausführungsformen weist jedes der Isolationsmerkmale eine mehrschichtige Struktur auf. In einigen Ausführungsformen werden die Isolationsmerkmale aus einem dielektrischen Material gefertigt. Das dielektrische Material kann Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, mit Fluorid dotiertes Silikatglas (FSG), ein Low-k-Dielektrikumsmaterial, ein anderes geeignetes Material oder eine Kombination davon umfassen. In einigen Ausführungsformen wird ein STI-Liner (nicht dargestellt) ausgebildet, um kristalline Defekte an der Grenzfläche zwischen dem Halbleitersubstrat 100 und den Isolationsmerkmalen zu reduzieren. Gleichermaßen kann der STI-Liner auch verwendet werden, um kristalline Defekte an der Grenzfläche zwischen den Finnenstrukturen und den Isolationsmerkmalen zu reduzieren.
  • In einigen Ausführungsformen wird eine Schicht aus einem dielektrischen Material über dem Halbleitersubstrat 100 abgeschieden. Die Schicht aus dem dielektrischen Material deckt die Finnenstrukturen, die die Finnenstruktur 101 umfassen, ab und füllt die Aussparungen zwischen den Finnenstrukturen. In einigen Ausführungsformen wird die Schicht aus dem dielektrischen Material unter Verwendung eines chemischen Gasphasenabscheidungsprozesses (CVD-Prozesses), eines Rotationsbeschichtungsprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden. In einigen Ausführungsformen wird ein Planarisierungsprozess durchgeführt, um die Schicht aus dem dielektrischen Material zu dünnen, bis die Finnenstruktur 101 freigelegt wird. Der Planarisierungsprozess kann einen chemisch-mechanischen Polierprozess (CMP-Prozess), einen Schleifprozess, einen Ätzprozess, einen anderen geeigneten Prozess oder eine Kombination davon umfassen. Danach wird die Schicht aus dem dielektrischen Material bis unterhalb der Oberseite der Finnenstruktur 101 rückgeätzt. Folglich werden die Isolationsmerkmale ausgebildet. Die Finnenstrukturen, die die Finnenstruktur 101 umfassen, stehen gemäß einigen Ausführungsformen von den Isolationsmerkmalen hervor.
  • Wie in 1A dargestellt, wird gemäß einigen Ausführungsformen eine Gatedielektrikumsschicht 104 über den Isolationsmerkmalen und der Finnenstruktur 101 abgeschieden. In einigen Ausführungsformen wird die Gatedielektrikumsschicht 104 aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, einem dielektrischen Material mit einer hohen Dielektrizitätskonstante (high-k), einem anderen geeigneten dielektrischen Material oder einer Kombination davon gefertigt. Zu Beispielen von High-k-Dielektrikumsmaterialien gehören Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, eine Legierung aus Hafniumdioxid-Alluminiumoxid, Hafnium-Siliziumoxid, Hafnium-Siliziumoxinitrid, Hafnium-Tantaloxid, Hafnium-Titanoxid, Hafnium-Zirkoniumoxid, ein anderes geeignetes High-k-Material oder eine Kombination davon. In einigen Ausführungsformen ist die Gatedielektrikumsschicht 104 eine Dummy-Gatedielektrikumsschicht, die nachfolgend entfernt wird. In einigen anderen Ausführungsformen wird die Gatedielektrikumsschicht 104 nicht ausgebildet.
  • In einigen Ausführungsformen wird die Gatedielektrikumsschicht 104 unter Verwendung eines chemischen Gasphasenabscheidungsprozesses (CDV-Prozesses), eines Atomlagenabscheidungsprozesses (ALD-Prozesses), eines thermischen Oxidationsprozesses, eines physikalischen Gasphasenabscheidungsprozesses (PVD-Prozesses), eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden.
  • Danach wird gemäß einigen Ausführungsformen eine Gateelektrode 106 über der Gatedielektrikumsschicht 104 ausgebildet, um einen Abschnitt der Finnenstruktur 101 abzudecken, wie in 1A dargestellt. In einigen Ausführungsformen ist die Gateelektrode 106 eine Dummy-Gateelektrode, die durch eine Metallgateelektrode ersetzt wird. In einigen Ausführungsformen wird die Gateelektrode 106 aus Polysilizium gefertigt. In einigen Ausführungsformen dient der Abschnitt der Finnenstruktur 101 unter der Gateelektrode 101 als ein Kanalgebiet.
  • In einigen Ausführungsformen wird eine Gateelektrodenschicht über der Gatedielektrikumsschicht 104 unter Verwendung eines CVD-Prozesses oder eines anderen geeigneten Prozesses abgeschieden. In einigen Ausführungsformen wird die Gateelektrodenschicht aus Polysilizium gefertigt. Danach wird gemäß einigen Ausführungsformen eine strukturierte Hartmaskenschicht (nicht dargestellt) über der Gateelektrodenschicht ausgebildet. Die strukturierte Hartmaskenschicht kann verwendet werden, um die Gateelektrodenschicht in eine oder mehrere Gateelektroden, die die in 1A dargestellte Gateelektrode 106 umfassen, zu strukturieren. In einigen Ausführungsformen wird die Gatedielektrikumsschicht 104 ebenfalls strukturiert, wie in 1A dargestellt. Die Gateelektrode 106 und die Gatedielektrikumsschicht 104 können zusammen einen Gatestapel 109 bilden. In einigen Ausführungsformen ist der Gatestapel 109 ein Dummy-Gatestapel, der durch einen Metallgatestapel ersetzt wird. In einigen Ausführungsformen umgibt der Gatestapel 109 Seitenflächen und eine obere Fläche der Finnenstruktur 101 und erstreckt sich ferner über das Halbleitersubstrat 100.
  • In einigen Ausführungsformen umfasst die strukturierte Hartmaskenschicht eine erste Hartmaskenschicht und eine zweite Hartmaskenschicht. Die erste Hartmaskenschicht befindet sich zwischen der Gateelektrodenschicht und der zweiten Hartmaskenschicht. In einigen Ausführungsformen wird die erste Hartmaskenschicht aus Siliziumnitrid gefertigt. In einigen Ausführungsformen wird die zweite Hartmaskenschicht aus Siliziumoxid gefertigt. In einigen Ausführungsformen ist die zweite Hartmaskenschicht dicker als die erste Hartmaskenschicht.
  • In einigen Ausführungsformen werden Dichtelemente (nicht dargestellt) über Seitenwänden des Gatestapels 109 ausgebildet. Die Dichtelemente können verwendet werden, um den Gatestapel 109 zu schützen und einen nachfolgenden Prozess zum Ausbilden von schwach dotierten Source-/Draingebieten (LDS/D-Gebieten) zu unterstützen. In einigen Ausführungsformen wird ein Ionenimplantationsprozess zum Ausbilden der LDS/D-Gebiete verwendet. In einigen anderen Ausführungsformen werden die Dichtelemente nicht ausgebildet. In einigen anderen Ausführungsformen werden die LDS/D-Gebiete nicht ausgebildet.
  • Danach werden gemäß einigen Ausführungsformen Spacerelemente 108 über Seitenwänden des Gatestapels 109 ausgebildet, wie in 1A dargestellt. Die Spacerelemente 108 können verwendet werden, um den Gatestapel 109 zu schützen und einen nachfolgenden Prozess zum Ausbilden von Source-/Drainmerkmalen zu unterstützen. In einigen Ausführungsformen werden die Spacerelemente 108 aus einem dielektrischen Material gefertigt. Das dielektrische Material kann Siliziumnitrid, Siliziumoxinitrid, Siliziumoxid, ein anderes geeignetes Material oder eine Kombination davon umfassen.
  • In einigen Ausführungsformen wird eine Schicht aus einem dielektrischen Material über dem Halbleitersubstrat 100 und dem Gatestapel 109 abgeschieden. Die Schicht aus dem dielektrischen Material kann unter Verwendung eines CVD-Prozesses, eines ALD-Prozesses, eines Rotationsbeschichtungsprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden werden. Danach wird die Schicht aus dem dielektrischen Material unter Verwendung eines Ätzprozesses, wie z.B. eines anisotropen Ätzprozesses, teilweise entfernt. Folglich bilden die über den Seitenwänden des Gatestapels 109 verbleibenden Abschnitte der Schicht aus dem dielektrischen Material die Spacerelemente 108.
  • Wie in 1A dargestellt, werden gemäß einigen Ausführungsformen Source-/Drainmerkmale 112 über den Abschnitten der Finnenstruktur 101 ausgebildet. In einigen Ausführungsformen wird die Finnenstruktur 101 teilweise entfernt, um Aussparungen in der Nähe der Spacerelemente 108 auszubilden. Danach wird gemäß einigen Ausführungsformen ein epitaktischer Aufwachsprozess durchgeführt, um die Source-/Drainmerkmale 112 auszubilden, wie in 1A dargestellt. In einigen Ausführungsformen werden die Source-/Drainmerkmale 112 außerdem als Stressoren verwendet, die Verspannung oder Beanspruchung an das Kanalgebiet zwischen den Source-/Drainmerkmalen 112 anlegen können. Die Ladungsträgerbeweglichkeit kann dementsprechend verbessert werden.
  • Wie in 1A dargestellt, wird gemäß einigen Ausführungsformen eine dielektrische Schicht 114 ausgebildet, die den Gatestapel 109 umgibt. In einigen Ausführungsformen wird eine Schicht aus einem dielektrischen Material abgeschieden, um die Source-/Drainmerkmale 112, die Spacerelemente 108 und den Gatestapel 109 abzudecken. Danach wird ein Planarisierungsprozess verwendet, um die Schicht aus dem dielektrischen Material teilweise zu entfernen, bis die Gateelektrode 106 freigelegt wird. Folglich wird die dielektrische Schicht 114 ausgebildet.
  • In einigen Ausführungsformen wird die Schicht aus dem dielektrischen Material aus Siliziumoxid, Siliziumoxinitrid, Borsilikatglas (BSG), Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), fluoriertem Silikatglas (FSG), einem Low-k-Material, einem porösen dielektrischen Material, einem anderen geeigneten Material oder einer Kombination davon gefertigt. In einigen Ausführungsformen wird die Schicht aus dem dielektrischen Material unter Verwendung eines CVD-Prozesses, eines ALD-Prozesses, eines Rotationsbeschichtungsprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden. In einigen Ausführungsformen umfasst der Planarisierungsprozess einen CMP-Prozess, einen Schleifprozess, einen Ätzprozess, einen anderen geeigneten Prozess oder eine Kombination davon.
  • In einigen Ausführungsformen werden mehrere Ätzvorgänge durchgeführt, um die Gateelektrode 106 zu entfernen. In einigen Ausführungsformen werden diese Ätzvorgänge innerhalb derselben Prozesskammer durchgeführt.
  • Wie in 1B dargestellt, wird gemäß einigen Ausführungsformen die Gateelektrode 106 entfernt, und eine Aussparung 116 zwischen den Spacerelementen 108 auszubilden. Danach wird gemäß einigen Ausführungsformen die Gatedielektrikumsschicht 104 entfernt. Die Aussparung 116 legt in einigen Ausführungsformen die Finnenstruktur 101 frei. Ein oder mehrere Ätzprozesse können zum Ausbilden der Aussparung 116 verwendet werden.
  • Wie in 1C dargestellt, werden gemäß einigen Ausführungsformen die Spacerelemente 108 teilweise entfernt, um die Breite der Aussparung 116 zu vergrößern. In einigen Ausführungsformen wird ein oberer Abschnitt der Aussparung 116 entlang einer Richtung von einer Oberseite der Aussparung 116 zum Halbleitersubstrat 100 hin allmählich schmaler. In einigen Ausführungsformen wird ein Ätzprozess, wie z.B. ein anisotroper Ätzprozess, verwendet, um die Spacerelemente 108 teilweise zu entfernen. Die Bedingungen des Ätzprozesses werden feinjustiert, um obere Abschnitte der Spacerelemente 108 seitlich zu ätzen. In einigen Ausführungsformen wird ein Gasgemisch als das Reaktionsgas zum Durchführen des Ätzprozesses verwendet. Das Gasgemisch kann CF4, O2, CHF3, N2, Ar, NF3, He, HBr, Cl2, SF6, CH4, ein anderes Gas oder eine Kombination davon umfassen. Während der Ätzvorgänge kann die Zusammensetzung des Gasgemischs gemäß Anforderungen variiert werden.
  • In einigen Ausführungsformen wird der Druck während der Ätzvorgänge in einem Bereich von ungefähr 0,133 Pa (1 mTorr) bis ungefähr
    10,67 Pa (80 mTorr) aufrechterhalten. In einigen Ausführungsformen liegt die zum Durchführen der Ätzvorgänge verwendete Betriebsleistung in einem Bereich von ungefähr 100 W bis ungefähr 1500 W. In einigen Ausführungsformen liegt die Vorgangstemperatur für das Durchführen der Ätzvorgänge in einem Bereich von ungefähr 10 Grad C bis ungefähr 80 Grad C. In einigen Ausführungsformen liegt die Vorgangszeit für das Durchführen der Ätzvorgänge in einem Bereich von ungefähr 5 Sekunden bis ungefähr 600 Sekunden.
  • Wie in 1D dargestellt, werden gemäß einigen anspruchsgemäßen Ausführungsformen Metallgatestapelschichten, die eine Gatedielektrikumsschicht 118, eine Austrittsarbeitsschicht 120 und eine leitfähige Füllschicht 122 umfassen, abgeschieden, um die Aussparung 116 zu füllen. Die Metallgatestapelschichten können eine oder mehrere andere Schichten umfassen. Zum Beispiel wird eine Barriereschicht zwischen der Gatedielektrikumsschicht 118 und der Austrittsarbeitsschicht 120 ausgebildet. Eine Sperrschicht kann zwischen der Austrittsarbeitsschicht 120 und der leitfähigen Füllschicht 122 ausgebildet werden. In einigen Ausführungsformen wird das Einfüllen der Metallgatestapelschichten leichter, da die Aussparung 116 nach dem vorstehend erwähnten Ätzprozess breiter ist.
  • In einigen Ausführungsformen wird die Gatedielektrikumsschicht 118 aus einem dielektrischen Material mit einer hohen Dielektrizitätskonstante (high-k) gefertigt. Die Gatedielektrikumsschicht 118 kann aus Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, einer Legierung aus Hafniumdioxid-Alluminiumoxid, Hafnium-Siliziumoxid, Hafnium-Siliziumoxinitrid, Hafnium-Tantaloxid, Hafnium-Titanoxid, Hafnium-Zirkoniumoxid, einem anderen geeigneten High-k-Material oder einer Kombination davon gefertigt werden.
  • Die Austrittsarbeitsschicht 120 wird verwendet, um die gewünschte Austrittsarbeit für Transistoren bereitzustellen, damit die Vorrichtungsleistung verbessert wird, wie z.B. eine verbesserte Schwellenspannung. In einigen Ausführungsformen ist die Austrittsarbeitsschicht 120 eine Schicht aus einem n-Typ-Metall, die in der Lage ist, einen Austrittsarbeitswert bereitzustellen, der für die Vorrichtung geeignet ist, wie z.B. kleiner gleich ungefähr 4,5 eV. In einigen Ausführungsformen ist die Austrittsarbeitsschicht 120 eine Schicht aus einem p-Typ-Metall, die in der Lage ist, einen Austrittsarbeitswert bereitzustellen, der für die Vorrichtung geeignet ist, wie z.B. größer gleich ungefähr 4,8 eV.
  • Die Schicht aus dem n-Typ-Metall kann ein Metall, Metallkarbid, Metallnitrid oder eine Kombination davon umfassen. Zum Beispiel umfasst die Schicht aus dem n-Typ-Metall Titannitrid, Tantal, Tantalnitrid, andere geeignete Materialien oder eine Kombination davon. Die Schicht aus dem p-Typ-Metall kann ein Metall, Metallkarbid, Metallnitrid, andere geeignete Materialien oder eine Kombination davon umfassen. Zum Beispiel umfasst das p-Typ-Metall Tantalnitrid, Wolframnitrid, Titan, Titannitrid, andere geeignete Materialien oder eine Kombination davon.
  • Die Austrittsarbeitsschicht 120 kann außerdem aus Hafnium, Zirkonium, Titan, Tantal, Aluminium, Metallkarbiden (z.B. Hafniumkarbid, Zirkoniumkarbid, Titankarbid, Aluminiumkarbid), Aluminiden, Ruthenium, Palladium, Platin, Kobalt, Nickel, leitfähigen Metalloxiden oder einer Kombination davon gefertigt werden. Die Dicke und/oder die Zusammensetzungen der Austrittsarbeitsschicht 120 können feinjustiert werden, um das Austrittsarbeitsniveau einzustellen. Zum Beispiel kann eine Titannitridschicht je nach der Dicke und/oder den Zusammensetzungen der Titannitridschicht als eine p-Typ-Metallschicht oder eine n-Typ-Metallschicht verwendet werden.
  • In einigen Ausführungsformen wird die leitfähige Füllschicht 122 aus einem Metallmaterial gefertigt. Das Metallmaterial kann Wolfram, Aluminium, Kupfer, ein anderes geeignetes Material oder eine Kombination davon umfassen. Das Ausbilden der Metallgatestapelschichten kann mehrere Abscheidungsprozesse umfassen. Die Abscheidungsprozesse können einen CVD-Prozess, einen ALD-Prozess, einen PVD-Prozess, einen Elektroplattierungsprozess, einen stromlosen Plattierungsprozess, einen Rotationsbeschichtungsprozess, einen anderen geeigneten Prozess oder eine Kombination davon umfassen.
  • Wie in 1E dargestellt, wird gemäß einigen Ausführungsformen ein Planarisierungsprozess durchgeführt, um die Abschnitte der Metallgatestapelschichten außerhalb der Aussparung 116 zwischen den Spacerelementen 106 zu entfernen. Folglich wird ein Metallgatestapel 123 ausgebildet. Der Metallgatestapel 123 umfasst die Gatedielektrikumsschicht 118, die Austrittsarbeitsschicht 120 und eine leitfähige Elektrode 122', die einen Abschnitt der leitfähigen Füllschicht 122 darstellt.
  • Wie in 1F dargestellt, wird gemäß einigen Ausführungsformen der Metallgatestapel 123 teilweise entfernt, und eine Aussparung 124 auszubilden. In einigen Ausführungsformen wird die Aussparung 124 unter Verwendung eines Rückätzprozesses ausgebildet. In einigen Ausführungsformen weist der Metallgatestapel 123 nach dem Rückätzprozess eine im Wesentlichen plane obere Fläche auf. Mit anderen Worten befinden sich obere Flächen der Gatedielektrikumsschicht 118, der Austrittsarbeitsschicht 120 und der leitfähigen Elektrode 122' im Wesentlichen auf der gleichen Höhe. In einigen Ausführungsformen ist das nachfolgende Ausbilden eines leitfähigen Kontakts auf dem Metallgatestapel erleichtert, da der Metallgatestapel 123 eine im Wesentlichen plane obere Fläche aufweist.
  • In einigen Ausführungsformen wird ein Gasgemisch als das Reaktionsgas zum Ausführen des Rückätzprozesses verwendet. Das Gasgemisch kann BCl3, HBr, Cl2, SF6, Ar, N2, O2, SiCl4, CF4, CHF3, CH4, H2, ein anderes geeignetes Gas oder eine Kombination davon umfassen. Während der Ätzvorgänge kann die Zusammensetzung des Gasgemischs gemäß Anforderungen variiert werden.
  • In einigen Ausführungsformen wird der Druck während der Ätzvorgänge in einem Bereich von ungefähr 0,133 Pa (1 mTorr) bis ungefähr 13,33 Pa (100 mTorr) aufrechterhalten. In einigen Ausführungsformen liegt die zum Durchführen der Ätzvorgänge verwendete Betriebsleistung in einem Bereich von ungefähr 100 W bis ungefähr 1500 W. In einigen Ausführungsformen liegt die Vorgangstemperatur für das Durchführen der Ätzvorgänge in einem Bereich von ungefähr 10 Grad C bis ungefähr 80 Grad C. In einigen Ausführungsformen liegt die Vorgangszeit für das Durchführen der Ätzvorgänge in einem Bereich von ungefähr 5 Sekunden bis ungefähr 600 Sekunden.
  • Wie in 1G dargestellt, wird eine Schutzmaterialschicht 125 über der dielektrischen Schicht 114 und dem Metallgatestapel 123 abgeschieden, um die Aussparung 124 zu füllen. In einigen Ausführungsformen wird die Schutzmaterialschicht 125 aus einem Metallmaterial gefertigt, das von jenem der Spacerelemente 106 verschieden ist. In einigen Ausführungsformen wird die Schutzmaterialschicht 125 aus einem dielektrischen Material gefertigt. Das dielektrische Material kann Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Silizium-Kohlenstoff-Nitrid, Oxid, ein anderes ähnliches Material, ein anderes geeignetes Material oder eine Kombination davon umfassen. In einigen Ausführungsformen wird die Schutzmaterialschicht 125 unter Verwendung eines CVD-Prozesses, eines ALD-Prozesses, eines Rotationsbeschichtungsprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden.
  • Danach wird gemäß einigen Ausführungsformen der Abschnitt der Schutzmaterialschicht 125 außerhalb der Aussparung 124 entfernt, wie in 1H dargestellt. Folglich bildet der in der Aussparung 124 verbleibende Abschnitt der Schutzmaterialschicht 125 ein Schutzelement 126, wie in 1H dargestellt. In einigen Ausführungsformen wird ein Planarisierungsprozess verwendet, um die Schutzmaterialschicht 125 teilweise zu entfernen, um das Ausbilden des Schutzelements 126 zu erzielen. In einigen Ausführungsformen umfasst der Planarisierungsprozess einen chemisch-mechanischen Polierprozess (CMP-Prozess), einen Schleifprozess, einen Ätzprozess, einen anderen geeigneten Prozess oder eine Kombination davon.
  • Wie in 1H dargestellt, weist das Schutzelement 126 eine erste Breite W1 in der Nähe einer Unterseite 126b des Schutzelements 126 und eine zweite Breite W2 in der Nähe einer Oberseite 126t des Schutzelements 126 auf. Die Breite W2 ist größer als die Breite W1. In einigen Ausführungsformen liegt die erste Breite W1 in einem Bereich von ungefähr 20 nm bis ungefähr 40 nm. In einigen Ausführungsformen liegt die zweite Breite W2 in einem Bereich von ungefähr 25 nm bis ungefähr 50 nm. In einigen Ausführungsformen wird das Schutzelement 126 entlang einer Richtung von der Oberseite 126t zur Unterseite 126b des Schutzelements 126 (des Metallgatestapels 123) allmählich schmaler. In einigen Ausführungsformen wird das Spacerelement 106 entlang einer Richtung von der Unterseite 126b des Schutzelements 126 zur Oberseite 106t des Spacerelements 106 allmählich schmaler.
  • Wie in 1H dargestellt, weist das Schutzelement 126 eine Dicke T auf. In einigen Ausführungsformen liegt die Dicke T in einem Bereich von ungefähr 10,0 nm bis ungefähr 50,0 nm. In einigen Ausführungsformen liegt eine Gesamthöhe H des Gatestapels 123 über der Finnenstruktur 101 und dem Schutzelement 126 in einem Bereich von ungefähr 30,0 nm bis ungefähr 200,0 nm. In einigen Ausführungsformen liegt ein Verhältnis (T/H) der Ticke T zu der Gesamthöhe H in einem Bereich von ungefähr 1/20 bis ungefähr 3/5.
  • Wie in 1H dargestellt, besteht ein Winkel 0 zwischen einer Seitenfläche 126s des Schutzelements 126 und einer gedachten Ebene P, die sich von der Unterseite 126b des Schutzelements 126 erstreckt. In einigen Ausführungsformen sollte der Winkel θ sorgfältig derart gesteuert werden, dass er innerhalb eines geeigneten Bereichs liegt. In einigen Ausführungsformen liegt der Winkel θ in einem Bereich von ungefähr 30 Grad bis ungefähr 85 Grad. In einigen anderen Ausführungsformen liegt der Winkel θ in einem Bereich von ungefähr 40 Grad bis ungefähr 80 Grad.
  • Wie in 1I dargestellt, wird gemäß einigen Ausführungsformen ein leitfähiger Kontakt 130 ausgebildet, der mit einem leitfähigen Merkmal über dem Halbleitersubstrat 100 elektrisch verbunden wird. In einigen Ausführungsformen wird der leitfähige Kontakt 130 mit dem auf der Finnenstruktur 101 ausgebildeten Source-/Drainmerkmal 112 elektrisch verbunden. In einigen Ausführungsformen wird eine dielektrische Schicht 128 über der in 1H dargestellten Struktur vor dem Ausbilden des leitfähigen Kontakts 130 ausgebildet. Danach wird die dielektrische Schicht 128 strukturiert, um eine Kontaktöffnung zu bilden, die das leitfähige Merkmal, wie z.B. das Source-/Drainmerkmal 112, freilegt.
  • In einigen Ausführungsformen umfasst die dielektrische Schicht 128 mehrere dielektrische Schichten. In einigen Ausführungsformen umfasst die dielektrische Schicht 128 eine Teilschicht, die als eine Ätzstoppschicht verwendet wird. In einigen Ausführungsformen wird die dielektrische Schicht 128 aus Siliziumoxid, Siliziumoxinitrid, Borsilikatglas (BSG), Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), fluoriertem Silikatglas (FSG), einem Low-K-Material, einem porösen dielektrischen Material, Siliziumnitrid, einem anderen geeigneten Material oder einer Kombination davon gefertigt. In einigen Ausführungsformen wird die dielektrische Schicht 128 abgeschieden und anschließend planarisiert, um eine im Wesentlichen plane obere Fläche auszubilden. In einigen Ausführungsformen wird die dielektrische Schicht 128 unter Verwendung eines CVD-Prozesses, eines ALD-Prozesses, eines Rotationsbeschichtungsprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden. In einigen Ausführungsformen wird die dielektrische Schicht 128 unter Verwendung eines CMP-Prozesses, eines Schleifprozesses, eines Ätzprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon planarisiert.
  • Danach wird gemäß einigen Ausführungsformen ein leitfähiges Material über der dielektrischen Schicht 128 abgeschieden, um die Kontaktöffnung zu füllen. Ein Planarisierungsprozess wird danach verwendet, um den Abschnitt der Schicht aus dem leitfähigen Material außerhalb der Kontaktöffnung zu entfernen. Folglich bildet der in der Kontaktöffnung verbleibende Abschnitt der Schicht aus dem leitfähigen Material einen leitfähigen Kontakt 130, wie in 1I dargestellt.
  • In einigen Ausführungsformen wird die Schicht aus dem leitfähigen Material aus Wolfram, Aluminium, Kupfer, Gold, Platin, Titan, einem anderen geeigneten Material oder einer Kombination davon gefertigt. In einigen Ausführungsformen wird die Schicht aus dem leitfähigen Material unter Verwendung eines CVD-Prozesses, eines PVD-Prozesses, eines Elektroplattierungsprozesses, eines stromlosen Plattierungsprozesses, eines anderen geeigneten Prozesses oder einer Kombination davon abgeschieden.
  • Da die Spacerelemente 106 teilweise entfernt werden, um die Aussparung 116 zu vergrößern, weist das später ausgebildete Schutzelement 126 ebenfalls einen breiten oberen Abschnitt auf. Das Schutzelement 126 mit dem breiteren oberen Abschnitt kann verwendet werden, um den Metallgatestapel 123 während des Ausbildens des leitfähigen Kontakts zu schützen. Wie in 1I dargestellt, schützt das Schutzelement 126 den darunter liegenden Metallgatestapel vor Schäden, auch wenn eine falsche Ausrichtung während des Ausbildens der Kontaktöffnung auftritt. Aufgrund des Profils des Schutzelements ist die Oberseite der Grenzfläche zwischen dem Schutzelement 126 und dem Spacerelement 106 seitlich außerhalb des Metallgatestapels 123 angeordnet. Daher wird verhindert, dass das während des Ausbildens der Kontaktöffnung verwendete Ätzmittel durch die Grenzfläche hindurchdringt und den Metallgatestapel 123 erreicht. Der Metallgatestapel 123 wird daher geschützt. Ein Kurzschluss zwischen dem Metallgatestapel 123 und dem leitfähigen Kontakt 130 wird verhindert. Daher sind die Leistung und Zuverlässigkeit der Halbleitervorrichtungsstruktur deutlich verbessert.
  • Wie vorstehend erwähnt, sollte in einigen Ausführungsformen der Winkel θ zwischen der Seitenfläche 126s und der gedachten Ebene P sorgfältig derart reguliert werden, dass er innerhalb eines geeigneten Bereichs liegt. In einigen Ausführungsformen liegt der Winkel θ in einem Bereich von ungefähr 30 Grad bis ungefähr 85 Grad. Wenn in manchen Fällen der Winkel Theta mehr als 85 Grad beträgt, kann die Breite W2 zu klein sein und der Metallgatestapel 123 wird nicht ordnungsgemäß geschützt. Wenn in manchen anderen Fällen der Winkel θweniger als ungefähr 30 Grad beträgt, kann die Breite W2 zu groß sein und zu viel von der Landefläche für den leitfähigen Kontakt 130 beanspruchen. Der obere Abschnitt des Spacerelements 106 kann außerdem zu dünn sein, damit die Seitenwand des Metallgatestapels 123 ordnungsgemäß geschützt wird.
  • In einigen Ausführungsformen steht der leitfähige Kontakt 130 in direktem Kontakt mit dem Spacerelement 106, wie in 1I dargestellt. In einigen Ausführungsformen steht der leitfähige Kontakt auch mit dem Schutzelement 126 in direktem Kontakt. Es versteht sich jedoch, dass viele Abwandlungen und/oder Modifikationen an Ausführungsformen der Offenbarung vorgenommen werden können. 2 ist eine Querschnittsansicht einer Halbleiterbauelementstruktur gemäß einigen Ausführungsformen. Wie in 2 dargestellt, steht der leitfähige Kontakt 130 in direktem Kontakt mit dem Spacerelement 106. In einigen Ausführungsformen steht jedoch der leitfähige Kontakt 130 nicht in direktem Kontakt mit dem Schutzelement 126.
  • Wie vorstehend erwähnt, weist der Metallgatestapel 123 eine im Wesentlichen plane obere Fläche auf. Es versteht sich jedoch, dass Ausführungsformen der Offenbarung nicht darauf beschränkt sind. Viele Abwandlungen und/oder Modifikationen können an Ausführungsformen der Offenbarung vorgenommen werden. 3A bis 3D sind Querschnittsansichten verschiedener Halbleitervorrichtungsstrukturen gemäß einigen Ausführungsformen.
  • Wie in 3A dargestellt, steht gemäß einigen anspruchsgemäßen Ausführungsformen die leitfähige Elektrode 122' von der Austrittsarbeitsschicht 120 und der Gatedielektrikumsschicht 118 hervor. Durch Feinjustieren des Rückätzprozesses befindet sich gemäß einigen anspruchsgemäßen Ausführungsformen die obere Fläche 122t der leitfähigen Elektrode 122' auf einem höheren Höhenniveau als jene der Austrittsarbeitsschicht 120 und der Gatedielektrikumsschicht 118. Zum Beispiel wird ein Ätzprozess verwendet, der die Austrittsarbeitsschicht 120 mit einer höheren Geschwindigkeit ätzt als die leitfähige Elektrode 122'.
  • Nachdem daher das Schutzelement 126 ausgebildet wurde, befindet sich gemäß einigen anspruchsgemäßen Ausführungsformen die obere Fläche 122t der leitfähigen Elektrode 122' zwischen der Oberseite 126t und der Unterseite 126b des Schutzelements 126, wie in 3A dargestellt. In einigen Ausführungsformen befinden sich die obere Fläche 120t der Austrittsarbeitsschicht 120 und die obere Fläche 118t der Gatedielektrikumsschicht 118 im Wesentlichen auf demselben Höhenniveau.
  • Danach wird ein leitfähiger Kontakt ausgebildet, der mit der leitfähigen Elektrode 122' elektrisch verbunden ist, die von der Austrittsarbeitsschicht 120 und der Gatedielektrikumsschicht 118 hervorsteht. In einigen Ausführungsformen weist die leitfähige Elektrode 122' eine größere Kontaktfläche mit dem nachfolgend ausgebildeten Kontakt auf als die in 1I dargestellte Struktur.
  • Viele Abwandlungen und/oder Modifikationen können an Ausführungsformen der Offenbarung vorgenommen werden. Durch Feinjustieren des Rückätzprozesses befindet sich gemäß einigen Ausführungsformen die obere Fläche 120t der der Austrittsarbeitsschicht 120 auf einem höheren Höhenniveau als jene der Gatedielektrikumsschicht 118, wie in 3B dargestellt. In einigen Ausführungsformen befindet sich die obere Fläche 120t der Austrittsarbeitsschicht 120 zwischen der oberen Fläche 122t der leitfähigen Elektrode 122' und der oberen Fläche 118t der Gatedielektrikumsschicht 118.
  • Viele Abwandlungen und/oder Modifikationen können an Ausführungsformen der Offenbarung vorgenommen werden. Wie in 3C dargestellt, befindet sich gemäß einigen Ausführungsformen die obere Fläche 122t der leitfähigen Elektrode 122' unterhalb der oberen Fläche 120t der Austrittsarbeitsschicht 120 und der oberen Fläche 118t der Gatedielektrikumsschicht 118. Durch Feinjustieren des Rückätzprozesses befindet sich die obere Fläche 122t der leitfähigen Elektrode 122' auf einem niedrigeren Höhenniveau als jene der Austrittsarbeitsschicht 120 und der Gatedielektrikumsschicht 118. Zum Beispiel wird ein Ätzprozess verendet, der die leitfähige Elektrode 122' mit einer höheren Geschwindigkeit ätzt als die Austrittsarbeitsschicht 120. In einigen Ausführungsformen befinden sich die obere Fläche 120t der Austrittsarbeitsschicht 120 und die obere Fläche 118t der Gatedielektrikumsschicht 118 im Wesentlichen auf demselben Höhenniveau.
  • Viele Abwandlungen und/oder Modifikationen können an Ausführungsformen der Offenbarung vorgenommen werden. Durch Feinjustieren des Rückätzprozesses befindet sich die obere Fläche 120t der Austrittsarbeitsschicht 120 auf einem höheren Höhenniveau als jene der Gatedielektrikumsschicht 118, wie in 3D dargestellt. Die obere Fläche 120t befindet sich auf einem höheren Höhenniveau als die obere Fläche 122t der leitfähigen Elektrode 122'.
  • Ausführungsformen der Offenbarung bilden eine Halbleitervorrichtungsstruktur mit einem Schutzelement über einem Gatestapel. Das Schutzelement weist einen oberen Abschnitt auf, der breiter ist als ein unterer Abschnitt des Schutzelements. Das Schutzelement wird verwendet, um den Gatestapel vor Schäden während eines nachfolgenden Ausbildens eines Kontakts zu schützen. Die Zuverlässigkeit und Leistung der Halbleitervorrichtungsstruktur sind deutlich verbessert.
  • Gemäß einigen Ausführungsformen ist eine Halbleitervorrichtungsstruktur bereitgestellt. Die Halbleitervorrichtungsstruktur umfasst einen Gatestapel über einem Halbleitersubstrat und ein Schutzelement über dem Gatestapel. Das Schutzelement weist einen oberen Abschnitt und einen unteren Abschnitt zwischen dem oberen Abschnitt und dem Gatestapel auf, und der obere Abschnitt ist breiter als der untere Abschnitt. Die Halbleitervorrichtungsstruktur umfasst außerdem ein Spacerelement über einer Seitenfläche des Schutzelements und einer Seitenwand des Gatestapels. Die Halbleitervorrichtungsstruktur umfasst ferner einen leitfähigen Kontakt, der mit einem leitfähigen Merkmal über dem Halbleitersubstrat elektrisch verbunden ist.
  • Gemäß einigen Ausführungsformen ist eine Halbleitervorrichtungsstruktur bereitgestellt. Die Halbleitervorrichtungsstruktur umfasst eine Finnenstruktur über einem Halbleitersubstrat und einen Gatestapel über der Finnenstruktur. Die Halbleitervorrichtungsstruktur umfasst außerdem ein Schutzelement über dem Gatestapel. Das Schutzelement weist einen oberen Abschnitt und einen unteren Abschnitt zwischen dem oberen Abschnitt und dem Gatestapel auf. Der obere Abschnitt ist breiter als der untere Abschnitt. Die Halbleitervorrichtungsstruktur umfasst ferner ein Spacerelement über einer Seitenfläche des Schutzelements und einer Seitenwand des Gatestapels. Außerdem umfasst die Halbleitervorrichtungsstruktur einen leitfähigen Kontakt, der mit einem Source-/Drainmerkmal über der Finnenstruktur elektrisch verbunden ist.
  • Gemäß einigen Ausführungsformen ist ein Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur bereitgestellt. Das Verfahren umfasst ein Ausbilden eines Dummy-Gatestapels über einem Halbleitersubstrat und Ausbilden von Spacerelementen über Seitenwänden des Dummy-Gatestapels. Das Verfahren umfasst außerdem ein Entfernen des Dummy-Gatestapels, um eine Aussparung zwischen den Spacerelementen auszubilden. Das Verfahren umfasst ferner ein teilweises Entfernen der Spacerelemente, so dass ein oberer Abschnitt der Aussparung breiter wird. Außerdem umfasst das Verfahren ein Ausbilden eines Metallgatestapels in der Aussparung und ein Ausbilden eines Schutzelements über dem Metallgatestapel, um die Aussparung zu füllen.
  • Gemäß einigen Ausführungsformen ist eine Halbleitervorrichtungsstruktur bereitgestellt. Die Halbleitervorrichtungsstruktur umfasst einen Gatestapel über einem Halbleitersubstrat und ein Schutzelement über dem Gatestapel. Eine Oberseite des Schutzelements ist breiter als eine Unterseite des Schutzelements. Die Halbleitervorrichtungsstruktur umfasst außerdem ein Spacerelement über einer Seitenfläche des Schutzelements und einer Seitenwand des Gatestapels. Die Halbleitervorrichtungsstruktur umfasst ferner einen leitfähigen Kontakt, der mit einem leitfähigen Merkmal über dem Halbleitersubstrat elektrisch verbunden ist.
  • Gemäß einigen Ausführungsformen ist eine Halbleitervorrichtungsstruktur bereitgestellt. Die Halbleitervorrichtungsstruktur umfasst eine Finnenstruktur über einem Halbleitersubstrat und einen Gatestapel über der Finnenstruktur. Die Halbleitervorrichtungsstruktur umfasst außerdem ein Schutzelement über dem Gatestapel, und eine Oberseite des Schutzelements ist breiter als eine Unterseite des Schutzelements. Die Halbleitervorrichtungsstruktur umfasst ferner ein Spacerelement über einer Seitenfläche des Schutzelements und einer Seitenwand des Gatestapels. Außerdem umfasst die Halbleitervorrichtungsstruktur einen leitfähigen Kontakt, der mit einem Source-/Drainmerkmal über der Finnenstruktur elektrisch verbunden ist.
  • Gemäß einigen Ausführungsformen ist ein Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur bereitgestellt. Das Verfahren umfasst ein Ausbilden eines Dummy-Gatestapels über einem Halbleitersubstrat und ein Ausbilden von Spacerelementen über Seitenwänden des Dummy-Gatestapels. Das Verfahren umfasst außerdem ein Entfernen des Dummy-Gatestapels, um eine Aussparung zwischen den Spacerelementen auszubilden, und ein teilweises Entfernen der Spacerelemente, so dass ein oberer Abschnitt der Aussparung breiter wird. Das Verfahren umfasst ferner ein Ausbilden eines Metallgatestapels in der Aussparung und ein Ausbilden eines Schutzelements in der Aussparung, um den Metallgatestapel abzudecken.
  • Das Vorstehende skizziert Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage für Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen.

Claims (14)

  1. Halbleitervorrichtungsstruktur, umfassend: einen Metallgatestapel (123) über einem Halbleitersubstrat (100), wobei der Metallgatestapel (123) eine Gatedielektrikumsschicht (118), eine Austrittsarbeitsschicht (120) und eine durch die Austrittsarbeitsschicht (120) umgebene leitfähige Elektrode (122') umfasst, ein Schutzelement (126) über dem Metallgatestapel (123), wobei eine Oberseite (126t) des Schutzelements (126) breiter ist als eine Unterseite (126b) des Schutzelements (126), wobei eine obere Fläche (122t) der leitfähigen Elektrode (122') sich zwischen der Oberseite (126t) und der Unterseite (126b) des Schutzelements (126) befindet und wobei die obere Fläche (122t) der leifähigen Elektrode (122') sich auf einem höheren Höhenniveau als jene (118t,120t) der Austrittsarbeitschicht (120) und der Gatedielektrikumsschicht (118) befindet, ein Spacerelement (106,108) über einer Seitenfläche (126s) des Schutzelements (126) und einer Seitenwand des Metallgatestapels (123), und einen leitfähigen Kontakt (130), der mit einem leitfähigen Element (112) über dem Halbleitersubstrat (100) elektrisch verbunden ist, wobei der leitfähige Kontakt (130) in direktem Kontakt mit dem Spacerelement (106,108) steht, und wobei ein Kurzschluss zwischen dem Metallgatestapel (123) und dem leitfähigen Kontakt (130) verhindert ist.
  2. Halbleitervorrichtungsstruktur nach Anspruch 1, wobei das Schutzelement (126) in direktem Kontakt mit der Austrittsarbeitsschicht (120) und der leitfähigen Elektrode (122`) steht.
  3. Halbleitervorrichtungsstruktur nach einem der vorhergehenden Ansprüche, wobei das Schutzelement (126) entlang einer Richtung von der Oberseite (126t) des Schutzelements (126) zum Metallgatestapel (123) hin allmählich schmaler wird.
  4. Halbleitervorrichtungsstruktur nach einem der vorhergehenden Ansprüche, wobei das Spacerelement (106,108) entlang einer Richtung von der Unterseite (126b) des Schutzelements (126) zu einer Oberseite (106t) des Spacerelements (106,108) hin allmählich schmaler wird.
  5. Halbleitervorrichtungsstruktur nach einem der vorhergehenden Ansprüche, wobei ein Winkel (θ) zwischen der Seitenfläche (126s) des Schutzelements (126) und einer gedachten Ebene, die sich von der Unterseite (126b) des Schutzelements (126) erstreckt, in einem Bereich von ungefähr 30 Grad bis ungefähr 85 Grad liegt.
  6. Halbleitervorrichtungsstruktur nach einem der vorhergehenden Ansprüche, wobei der leitfähige Kontakt (130) nicht in direktem Kontakt mit dem Schutzelement (126) steht.
  7. Halbleitervorrichtungsstruktur nach einem der Ansprüche 1 bis 5, wobei der leitfähige Kontakt (130) in direktem Kontakt mit dem Schutzelement (126) steht.
  8. Halbleitervorrichtungsstruktur, umfassend: eine Finnenstruktur (101) über einem Halbleitersubstrat (100), einen Metallgatestapel (123) über der Finnenstruktur (101), wobei der Metallgatestapel (123) eine Gatedielektrikumsschicht (118), eine Austrittsarbeitsschicht (120) und eine durch die Austrittsarbeitsschicht (120) umgebene leitfähige Elektrode (122') umfasst, ein Schutzelement (126) über dem Metallgatestapel (123), wobei eine Oberseite (126t) des Schutzelements (126) breiter ist als eine Unterseite (126b) des Schutzelements (126), wobei eine obere Fläche (122t) der leitfähigen Elektrode (122') sich zwischen der Oberseite (126t) und der Unterseite (126b) des Schutzelements (126) befindet und wobei die obere Fläche (122t) der leifähigen Elektrode (122') sich auf einem höheren Höhenniveau als jene (118t,120t) der Austrittsarbeitschicht (120) und der Gatedielektrikumsschicht (118) befindet, ein Spacerelement (106,108) über einer Seitenfläche (126s) des Schutzelements (126) und einer Seitenwand des Metallgatestapels (123), und einen leitfähigen (130) Kontakt, der mit einem Source-/Drainelement (112) über der Finnenstruktur (101) elektrisch verbunden ist, wobei der leitfähige Kontakt (130) in direktem Kontakt mit dem Spacerelement (106,108) steht, und wobei ein Kurzschluss zwischen dem Metallgatestapel (123) und dem leitfähigen Kontakt (130) verhindert ist.
  9. Halbleitervorrichtungsstruktur nach Anspruch 8, wobei der leitfähige Kontakt (130) in direktem Kontakt mit dem Schutzelement (126) steht.
  10. Halbleitervorrichtungsstruktur nach Anspruch 8 oder 9, wobei das Schutzelement (126) entlang einer Richtung von der Oberseite (126t) des Schutzelements zu dem Metallgatestapel (123) hin allmählich schmaler wird.
  11. Halbleitervorrichtungsstruktur nach einem der Ansprüche 8 bis 10, wobei das Spacerelement (106,108) entlang einer Richtung von der Unterseite (126b) des Schutzelements (126) zu einer Oberseite (106t) des Spacerelements (106,108) hin allmählich schmaler wird.
  12. Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur, umfassend: Ausbilden eines Dummy-Gatestapels (109) über einem Halbleitersubstrat (100), Ausbilden von Spacerelementen (106,108) über Seitenwänden des Dummy-Gatestapels (109), Entfernen des Dummy-Gatestapels (109), um eine Aussparung (116,124) zwischen den Spacerelementen (106,108) auszubilden, teilweises Entfernen der Spacerelemente (106,108), so dass ein oberer Abschnitt der Aussparung (116,124) breiter wird, Ausbilden eines Metallgatestapels (123) in der Aussparung (116,124), wobei der Metallgatestapels (123) eine Gatedielektrikumsschicht (118), eine Austrittsarbeitsschicht (120) und eine durch die Austrittsarbeitsschicht (120) umgebene leitfähige Elektrode (122') umfasst, Rückätzen des Metallgatestapels (123), bevor ein Schutzelement (126) ausgebildet wird, sodass eine obere Fläche (122t) der leitfähigen Elektrode (122') sich auf einem höheren Höhenniveau als jene (118t,120t) der Austrittsarbeitsschicht (120) und der Gatedielektrikumsschicht (118) befindet, Ausbilden des Schutzelements (126) in der Aussparung (116,124), um den Metallgatestapel (123) abzudecken, und Ausbilden eines leitfähigen Kontakts (130), der mit einem leitfähigen Element (112) über dem Halbleitersubstrat (100) elektrisch verbunden wird, so dass der leitfähige Kontakt (130) in direktem Kontakt mit dem Spacerelement (106,108) steht, wobei ein Kurzschluss zwischen dem Metallgatestapel (123) und dem leitfähigen Kontakt (130) verhindert wird.
  13. Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur nach Anspruch 12, wobei der leitfähige Kontakt (130) in direktem Kontakt mit dem Schutzelement (126) steht.
  14. Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur nach Anspruch 12 oder 13, wobei das Ausbilden des Schutzelements (126) umfasst: Ausbilden einer Schutzmaterialschicht (125) über dem Metallgatestapel (123), um die Aussparung (116,124) zu füllen, und Entfernen der Schutzmaterialschicht (125) außerhalb der Aussparung (116,124), so dass ein verbleibender Abschnitt der Schutzmaterialschicht (125) das Schutzelement (126) bildet.
DE102015114904.4A 2015-06-15 2015-09-06 Halbleitervorrichtungsstrukturen und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur Active DE102015114904B4 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562175816P 2015-06-15 2015-06-15
US62/175,816 2015-06-15
US14/743,768 2015-06-18
US14/743,768 US9450099B1 (en) 2015-06-18 2015-06-18 Structure and formation method of semiconductor device structure
US14/827,092 2015-08-14
US14/827,092 US9614089B2 (en) 2015-06-15 2015-08-14 Structure and formation method of semiconductor device structure

Publications (2)

Publication Number Publication Date
DE102015114904A1 DE102015114904A1 (de) 2016-12-15
DE102015114904B4 true DE102015114904B4 (de) 2020-06-18

Family

ID=57395049

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015114904.4A Active DE102015114904B4 (de) 2015-06-15 2015-09-06 Halbleitervorrichtungsstrukturen und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur

Country Status (5)

Country Link
US (5) US9614089B2 (de)
KR (1) KR101782218B1 (de)
CN (1) CN106252411A (de)
DE (1) DE102015114904B4 (de)
TW (1) TWI575662B (de)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9425048B2 (en) * 2013-11-06 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for semiconductor device structure
US9614089B2 (en) 2015-06-15 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
CN107301950A (zh) 2016-04-14 2017-10-27 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9704754B1 (en) * 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
US10079290B2 (en) 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
TWI727068B (zh) * 2017-07-03 2021-05-11 聯華電子股份有限公司 半導體裝置以及其製作方法
CN109285808A (zh) 2017-07-20 2019-01-29 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10790142B2 (en) * 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
KR102432866B1 (ko) * 2017-11-29 2022-08-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
CN112018036A (zh) * 2019-05-30 2020-12-01 台湾积体电路制造股份有限公司 半导体装置结构的制造方法
US11282934B2 (en) * 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11430865B2 (en) 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020114867A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11398384B2 (en) * 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
US11108388B1 (en) 2020-03-02 2021-08-31 Shanghai Hestia Power, Inc. Silicon carbide power device, driving circuit and control method
CN113140514A (zh) * 2020-04-29 2021-07-20 台湾积体电路制造股份有限公司 半导体装置与其制作方法
US11742404B2 (en) * 2020-04-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048790B2 (en) 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
US20130181265A1 (en) 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8772168B2 (en) 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US20140203333A1 (en) 2013-01-18 2014-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US20140374805A1 (en) 2013-06-19 2014-12-25 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
WO2015021670A1 (zh) 2013-08-13 2015-02-19 中国科学院微电子研究所 半导体器件及其制造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6808974B2 (en) 2001-05-15 2004-10-26 International Business Machines Corporation CMOS structure with maximized polysilicon gate activation and a method for selectively maximizing doping activation in gate, extension, and source/drain regions
US6777761B2 (en) 2002-08-06 2004-08-17 International Business Machines Corporation Semiconductor chip using both polysilicon and metal gate devices
US6806534B2 (en) 2003-01-14 2004-10-19 International Business Machines Corporation Damascene method for improved MOS transistor
US20050203551A1 (en) * 2004-03-09 2005-09-15 Kevin Weadock Method for performing a coronary artery bypass graft procedure
JP2006032410A (ja) 2004-07-12 2006-02-02 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR20070111795A (ko) 2006-05-19 2007-11-22 삼성전자주식회사 콘택 구조물 및 그 제조 방법
US8035165B2 (en) 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8637936B2 (en) 2009-09-25 2014-01-28 United Microelectronics Corp. Metal gate transistor with resistor
US8349678B2 (en) 2010-02-08 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Laterally diffused metal oxide semiconductor transistor with partially unsilicided source/drain
US8536656B2 (en) 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
TW201314840A (zh) 2011-09-23 2013-04-01 Univ Nat Central 銻基場效電晶體之自對準閘極結構及其方法
WO2013140358A1 (en) * 2012-03-23 2013-09-26 Koninklijke Philips N.V. Imaging system for imaging a periodically moving object
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US8946793B2 (en) 2013-02-05 2015-02-03 GlobalFoundries, Inc. Integrated circuits having replacement gate structures and methods for fabricating the same
US9024388B2 (en) 2013-06-17 2015-05-05 Globalfoundries Inc. Methods of forming gate structures for CMOS based integrated circuit products and the resulting devices
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
US9520474B2 (en) 2013-09-12 2016-12-13 Taiwan Semiconductor Manufacturing Company Limited Methods of forming a semiconductor device with a gate stack having tapered sidewalls
US20150118836A1 (en) 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
JP6193771B2 (ja) 2014-01-28 2017-09-06 ルネサスエレクトロニクス株式会社 半導体装置
US9412822B2 (en) 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9450099B1 (en) * 2015-06-18 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure
US9614089B2 (en) * 2015-06-15 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048790B2 (en) 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
US20130181265A1 (en) 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8772168B2 (en) 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US20140203333A1 (en) 2013-01-18 2014-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US20140374805A1 (en) 2013-06-19 2014-12-25 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
WO2015021670A1 (zh) 2013-08-13 2015-02-19 中国科学院微电子研究所 半导体器件及其制造方法

Also Published As

Publication number Publication date
KR20160147617A (ko) 2016-12-23
US20180358466A1 (en) 2018-12-13
US20170207337A1 (en) 2017-07-20
DE102015114904A1 (de) 2016-12-15
US10032916B2 (en) 2018-07-24
US20160365449A1 (en) 2016-12-15
US11515422B2 (en) 2022-11-29
TWI575662B (zh) 2017-03-21
US10811538B2 (en) 2020-10-20
TW201643997A (zh) 2016-12-16
CN106252411A (zh) 2016-12-21
US10483398B2 (en) 2019-11-19
US9614089B2 (en) 2017-04-04
KR101782218B1 (ko) 2017-09-26
US20200075766A1 (en) 2020-03-05
US20210036157A1 (en) 2021-02-04

Similar Documents

Publication Publication Date Title
DE102015114904B4 (de) Halbleitervorrichtungsstrukturen und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur
DE102015113250B4 (de) Struktur und verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102019201354B4 (de) Verfahren für eine Gate-Schnitt-Struktur mit Liner-Abstandshalter
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102015112832B4 (de) Struktur und Ausbildungsverfahren einer Halbleiterbauelementstruktur mit Gatestapel
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE112006003402B4 (de) Verspannte Silizium-MOS-Vorrichtung mit BOX-Schicht(Burried Oxide-layer)zwischen den Source- und Drain-Gebieten und Herstellungsverfahren dafür
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE102015106047B4 (de) Struktur und Verfahren zum Ausbilden eines Halbleiterbauelements mit einem Gatestapel
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102016101844B4 (de) Interconnect-Struktur, Herstellungsverfahren dafür und Halbleitervorrichtung mit einer solchen Interconnect-Struktur
DE102017117797B4 (de) Halbleitervorrichtung und Methoden der Herstellung
DE102016100273B4 (de) Halbleitervorrichtungsstruktur
DE102009039522B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit vergrabener Ätzstoppschicht in Grabenisolationsstrukturen für eine bessere Oberflächenebenheit in dicht gepackten Halbleiterbauelementen
DE102008054075A1 (de) Abgesenkter Drain- und Sourcebereich in Verbindung mit einer komplexen Silizidherstellung in Transistoren
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102015116915B4 (de) Halbleitervorrichtungsstruktur und Verfahren zum Ausbilden von dieser
DE102015112916B4 (de) Struktur und Bildungsverfahren einer Halbleitervorrichtungsstruktur
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102021113003B4 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102018126937B4 (de) Finnenschnitt-Isolationsbereiche und Verfahren zu ihrem Bilden
DE102019128469B4 (de) Finnenfeldeffekttransistorbauelement und verfahren zu dessen herstellung
DE102012201025B4 (de) Verfahren zur Herstellung von Halbleiterbauelementen mit lokalen Kontakten
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102020114991A1 (de) In-situ-ausbilden von metallgate-modulatoren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021280000

Ipc: H01L0021283000

R018 Grant decision by examination section/examining division
R130 Divisional application to

Ref document number: 102015017350

Country of ref document: DE

R020 Patent grant now final