DE102005045081A1 - Suszeptor - Google Patents

Suszeptor Download PDF

Info

Publication number
DE102005045081A1
DE102005045081A1 DE102005045081A DE102005045081A DE102005045081A1 DE 102005045081 A1 DE102005045081 A1 DE 102005045081A1 DE 102005045081 A DE102005045081 A DE 102005045081A DE 102005045081 A DE102005045081 A DE 102005045081A DE 102005045081 A1 DE102005045081 A1 DE 102005045081A1
Authority
DE
Germany
Prior art keywords
susceptor
outer peripheral
wafer
peripheral portion
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102005045081A
Other languages
English (en)
Other versions
DE102005045081B4 (de
Inventor
Masanari Yokogawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
COORSTEK GK, JP
Original Assignee
Toshiba Ceramics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2004285280A external-priority patent/JP4223455B2/ja
Application filed by Toshiba Ceramics Co Ltd filed Critical Toshiba Ceramics Co Ltd
Publication of DE102005045081A1 publication Critical patent/DE102005045081A1/de
Application granted granted Critical
Publication of DE102005045081B4 publication Critical patent/DE102005045081B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Ein Suszeptor, von dem mindestens eine Oberfläche mit SiC beschichtet ist, enthält eine Vertiefung, wo ein Wafer angebracht wird, wobei die Vertiefung einen runden Abschnitt, der an einem unteren Abschnitt eines Außenumfangsabschnitts der Vertiefung angeordnet ist, einen ringförmigen SiC-Kristallwachstumsoberflächenabschnitt, der innerhalb des runden Abschnitts in einem Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger vorgesehen ist, begrenzt von einem vertikalen Außenumfangsabschnitt der Vertiefung, und einen Berührungsabschnitt, wo der Suszeptor in Berührung mit dem Wafer in der Vertiefung kommt, mit einer Oberflächenrauigkeit Ra in einem Bereich von 0,5 mum oder mehr und 3 mum oder weniger hat.

Description

  • Die folgende Erfindung beansprucht die Auslandspriorität der japanischen Patentanmeldung Nr. 2004-285280, die am 29. September 2004 eingereicht wurde, und der Nr. 2004-085230, die am 23. März 2004 eingereicht wurde, deren Inhalte hier durch Bezugnahme aufgenommen werden.
  • HINTERGRUND DER ERFINDUNG
  • 1. Gebiet der Erfindung
  • Die Erfindung betrifft einen Suszeptor und insbesondere einen Suszeptor, in dem ein SiC-Film, der auf einer Wafer-Ablage ausgebildet wird, teilweise ohne Polieren derart zurückgelassen wird, dass er einen Oberflächenabschnitt für ein SiC-Kornwachstum ist.
  • Eine Halbleitervorrichtung wird durch viele Herstellungsprozesse hergestellt. Als Suszeptoren, die in einen Epitaxiewachstumsprozess eines Halbleiter-Wafers verwendet werden, wird oft ein SiC-Element wegen der niedrigen Reaktivität und der hohen Festigkeit verwendet, in dem SiC auf einem Kohlenstoffbasismaterial aufgetragen ist.
  • Es gibt einen plattenähnlichen Suszeptor, in dem eine einzelne Wafer-Aufnahmevertiefung auf einem ebenen bzw. planaren Suszeptor-Basismaterial ausgebildet ist, und einen Suszeptor, in dem viele Vertiefungen auf einem planaren Suszeptor-Basismaterial ausgebildet sind.
  • Der Suszeptor wird durch Beschichten von SiC auf einem Kohlenstoffbasismaterial hergestellt, in dem eine Vertiefung mittels mechanischem Schleifen oder Ähnlichem ausgebildet wird, worauf die Verwendung wie beschichtet in einer Wärmebehandlung oder Ähnliches folgt (vgl. die ungeprüfte, japanische Patentveröffentlichung Nr. JP-A-56-10921) oder worauf ein weiteres Polieren der SiC-beschichteten Oberfläche unter Verwendung einer Poliermaschine folgt, wonach die Verwendung in der Wärmebehandlung oder Ähnlichem folgt (vgl. die ungeprüfte, japanische Patentveröffentlichung Nr. JP-A-7-335572).
  • In den Suszeptoren, die nach den herkömmlichen Herstellungsverfahren hergestellt werden, arbeiten jedoch, wenn der Suszeptor als mit einem SiC-Film beschichtet in der Wärmebehandlung oder Ähnlichem in JP-A-56-10921 verwendet wird, da SiC sehr hart ist, Scheitel bzw. Spitzen der Körner wie eine Schneide zum Schneiden einer Oberfläche des Halbleiter-Wafers. Zudem, wenn die beschichtete Oberfläche poliert wird und verwendet wird, wie in der JP-A-7-335572 gezeigt wird, wird eine Belastung bzw. Spannung während des Poliervorgangs in der Nachbarschaft eines Grenzabschnitts zwischen einem Bodenoberflächenabschnitt der Vertiefung, worauf ein Halbleiter-Wafer angebracht ist, und eines vertikalen Abschnitts davon konzentriert, wodurch feine Risse in dem Film verursacht werden. Zudem werden in einigen Fällen aufgrund der thermischen Spannung während der Wärmebehandlung mit dem feinen Riss als Ausgangspunkt Risse, wie in 6 gezeigt ist, auf der beschichteten Oberfläche erzeugt, wodurch sich eine kurze Lebensdauer ergibt.
  • Wenn die Gesamtoberfläche der Vertiefung, wo ein Wafer angeordnet wird, poliert wird, kann der Wafer zudem aufgrund eines Gases in der Vertiefung, das an einer Berührungsgrenzfläche verbleibt, leicht gleiten und das Gas verbleibt an einem Randeckenabschnitt der Vertiefung. Folglich besteht ein Problem darin, dass aufgrund der Zentrifugalkraft in Verbindung mit einer Drehbewegung des Suszeptors und eines Einflusses des Gases der Wafer von der Vertiefung abhebt.
  • Um diese Probleme zu überwinden, wurden Gegenmaßnahmen angewandt, zum Beispiel das Anordnen von Löchern, die mit einer Suszeptor-Bodenoberfläche auf einer Wafer-Berührungsoberfläche der Vertiefung verbunden sind, oder das Anordnen von Rillen in einem Gitter. In diesem Fall besteht jedoch das Problem, dass der Wafer, da eine teilweise Temperaturdifferenz in der Wafer-Oberfläche ausgebildet wird, nicht gleichmäßig wärmebehandelt werden kann.
  • Zudem wird in den herkömmlichen Suszeptoren ein ebener Außenumfangsabschnitt, der sich an den Außenumfangsabschnitt der Vertiefung anschließt, wo der Wafer angeordnet wird, einer gewöhnlichen CVD-SiC-Beschichtung oder dem Spiegelpolieren unterzogen. Bei der Ersteren wird ein Gasfluss an dem Außenumfangsabschnitt eines Wafer-Anbringungsabschnitts gestört, wodurch zum Beispiel die Gleichmäßigkeit einer Wafer-Epitaxieschicht verschlechtert wird. Zudem, wenn sie wiederholt verwendet wird, ist es in dem Außenumfangsabschnitt sehr wahrscheinlich, dass sich Verunreinigungskomponenten anhäufen, wodurch eine ungünstige Beeinflussung des Wafers entsteht. In dem letzteren Fall kann, da der Gasfluss in dem Außenumfangsabschnitt sehr gleichmäßig wird, wodurch ein anormaler Wachstumsvorsprung in einer Ablagerungsschicht zum Beispiel auf einer Stromabwärtsseite des Gases nach der wiederholten Verwendung verursacht wird, die Gleichmäßigkeit der Epitaxieschicht des Wafers verschlechtert werden.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die Erfindung wurde mit Hinblick auf die vorstehenden Situationen gemacht und hat das Ziel, einen Suszeptor bereitzustellen, der eine Oberfläche des Halbleiter-Wafers nicht mit einem Scheitel bzw. einer Spitze eines Korns schneidet, das auf einer Oberfläche eines SiC-Films ausgebildet wird, der keine Risse, auch nicht nach der Verwendung nach einer langen Zeit, an einem unteren Abschnitt eines Außenumfangsabschnitts einer Vertiefung erzeugt, damit er eine lange Lebensdauer hat, der den Wafer ohne Abheben von der Vertiefung stabil halten kann und der eine Wärmebehandlung der Oberfläche des Wafers gleichmäßig durchführen kann.
  • Zudem beabsichtigt die Erfindung, einen Suszeptor bereitzustellen, der eine Epitaxieschicht eines Wafers gleichmäßig machen kann und auch nach einer wiederholten Verwendung keine Verunreinigungskomponenten an einem Außenumfangsabschnitt der Vertiefung anhäuft, um von einer nachteiligen Beeinflussung des Wafers frei zu sein.
  • Die Erfinder haben nach dem aufwändigen Studium, um die vorher erwähnte Aufgabe zu erreichen, herausgefunden, dass, wenn Acht auf die Tatsache gegeben wird, dass ein Außenumfangsabschnitt des Halbleiter-Wafers, insbesondere eines Silizium-Wafers, notwendigerweise einem Anfasen ausgesetzt wird, um den Umfang des Wafers abzuschrägen, und dass ein angefaster Abschnitt nicht in Berührung mit einem Suszeptor kommt, ein SiC-Kornwachstumsoberflächenabschnitt ohne Polieren an einer Stelle gegenüber dem angefasten Abschnitt des Suszeptors verbleiben kann und dass feine Risse nicht auf der Filmoberfläche dieses Abschnitts erzeugt werden. Dadurch wurde die Erfindung vervollständigt.
  • Das heißt, dass, um die vorher erwähnten Aufgaben lösen zu können, gemäß einem ersten Aspekt der vorliegenden Erfindung ein Suszeptor bzw. Träger bereitgestellt wird, von dem mindestens eine Oberfläche mit SiC beschichtet ist, eine Vertiefung aufweist, wo ein Wafer angebracht bzw. angeordnet wird, wobei die Vertiefung enthält:
    einen runden Abschnitt, der sich an einem unteren Abschnitt eines Außenumfangsabschnitts der Vertiefung befindet;
    einen ringförmigen SiC-Kristallwachstumsoberflächenabschnitt, der innerhalb des runden Abschnitts in einem Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger bereitgestellt ist, definiert bzw. begrenzt von einem vertikalen Außenumfangsabschnitt der Vertiefung aus, und
    einen Berührungsabschnitt, wo der Suszeptor den Wafer auf der Vertiefung berührt, mit einer Oberflächenrauigkeit Ra in einem Bereich von 0,5 μm oder mehr und 3 μm oder weniger. Die Oberflächenrauigkeit Ra (arithmetische Mittelrauigkeit) ist in dem japanischen Industriestandard (JIS = Japanese industrial Standard) als JIS B 0601-1994 definiert.
  • Gemäß dem vorstehenden Aufbau kann ein Suszeptor realisiert werden, der weder die Oberfläche des Halbleiter-Wafers aufgrund des Scheitels bzw. der Spitze des Korns auf der Oberfläche des SiC-Films schneidet noch nach der Verwendung für eine lange Zeit irgendwelche Risse an dem unteren Abschnitt des Außenumfangsabschnitts der Vertiefung erzeugt, um eine lange Lebensdauer zu erreichen, der stabil verwendet werden kann, ohne dass der Wafer von der Vertiefung abhebt, und der zudem eine Wärmebehandlung auf der Oberfläche des Wafers gleichmäßig durchführen kann.
  • Gemäß einem zweiten Aspekt der vorliegenden Erfindung, wie in dem ersten Aspekt der vorliegenden Erfindung erläutert worden ist, wird es bevorzugt, dass ein planarer Außenumfangsabschnitt, der sich an den Außenumfangsabschnitt der Vertiefung anschließt und teilweise oder insgesamt eine Oberfläche des Suszeptors ausbildet, eine ungleichmäßige Form hat, die eine arithmetische mittlere Rauigkeit Ra in einem Bereich von 0,05 μm oder mehr und 0,5 μm oder weniger ausbildet.
  • Gemäß einem dritten Aspekt der vorliegenden Erfindung, wie in dem zweiten Aspekt der vorliegenden Erfindung ausgeführt worden ist, wird es bevorzugt, dass der Suszeptor fünf Vertiefungen bzw. Aufnahmen aufweist und dass der planare Außenumfangsabschnitt 70% oder mehr der Oberfläche des Suszeptors, ausgenommen die Vertiefungen, einnimmt.
  • Gemäß einem dritten Aspekt der vorliegenden Erfindung, wie in dem zweiten Aspekt der vorliegenden Erfindung erläutert wurde, wird es bevorzugt, dass der Suszeptor eine einzige Vertiefung aufweist, worin der planare Außenumfangsabschnitt die gesamte Oberfläche des Suszeptors, ausgenommen die Vertiefung, abdeckt.
  • Gemäß dem Suszeptor der Erfindung kann ein Suszeptor bereitgestellt werden, der weder eine Oberfläche des Halbleiter-Wafers aufgrund eines Scheitels bzw. einer Spitze des Kornes auf einer Oberfläche eines SiC-Films schneidet noch nach der Verwendung für eine lange Zeitdauer Risse an dem unteren Abschnitt des Außenumfangsabschnitts der Vertiefung erzeugt, um eine lange Lebensdauer zu erreichen, der stabil verwendet werden kann, ohne dass der Wafer aus der Vertiefung herauskommt, und der zudem eine Wärmebehandlung auf einer Oberfläche des Wafers gleichmäßig durchführen kann.
  • Zudem kann ein Suszeptor bereitgestellt werden, der eine Wafer-Epitaxieschicht gleichförmig machen kann, der keine Verunreinigungskomponenten an dem Außenumfangsabschnitt der Vertiefung anhäuft, auch nicht nach einer wiederholten Verwendung, und der keine ungünstigen Wirkungen auf den Wafer hat.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist eine ebene Ansicht eines Suszeptors gemäß einer Ausführungsform der Erfindung;
  • 2 ist eine Schnittansicht entlang einer A-A-Linie in 1;
  • 3 ist eine Schnittansicht, die eine Vergrößerung eines Abschnitts B in 2 zeigt;
  • 4 ist eine Schnittansicht, die den Abschnitt B in 2 vergrößert zeigt;
  • 5 ist ein Konzeptdiagramm, das ein Herstellungsverfahren eines Suszeptors gemäß einer Ausführungsform der Erfindung zeigt;
  • 6 ist ein Erläuterungsdiagramm, das einen Rissauftrittszustand eines vorhandenen Suszeptors zeigt;
  • 7 ist eine Schnittansicht eines Suszeptors gemäß einer weiteren Ausführungsform der Erfindung; und
  • 8 ist ein Konzeptdiagramm, das einen Zustand der Verwendung eines Suszeptors gemäß einer weiteren Ausführungsform der Erfindung zeigt.
  • DETAILLIERTE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Nachfolgend wird eine Ausführungsform eines Suszeptors gemäß der Erfindung mit Bezug auf die beiliegenden Zeichnungen erläutert.
  • 1 ist eine Längsschnittansicht eines Suszeptors gemäß der Erfindung. 2 ist eine Längsschnittansicht, die einen Zustand seiner Verwendung zeigt. 3 und 4 sind vergrößerte Diagramme in der Nachbarschaft eines runden Abschnitts, der durch Vergrößern eines Abschnitts B in 2 gezeigt wird.
  • Wie in 1 gezeigt wird, hat ein Suszeptor 1 gemäß der Erfindung einen Aufbau, in dem ein SiC-Film 4 auf einer Oberfläche 2a eines Kohlenstoffbasismaterials 2 ausgebildet ist und eine Vielzahl von Vertiefungen 3, z.B. fünf, die jeweils zum Unterbringen eines Halbleiter-Wafers ausgebildet sind, auf der Oberfläche 2a des Kohlenstoffbasismaterials 2 ausgebildet ist.
  • Wie in 2 gezeigt ist, ist in der Vertiefung 3 ein runder Abschnitt 3c zwischen einem Wafer-Berührungsabschnitt 3a, wo ein Wafer W angeordnet ist und in Berührung damit kommt, und einem vertikalen Außenumfangsabschnitt 3b ausgebildet, der vertikal von dem Wafer-Berührungsabschnitt 3a nach oben steht. Wie in 3 und 4 gezeigt ist, ist ein ringähnlicher Innenumfangsrand 4a1 eines SiC-Kornwachstumsoberflächenabschnitts 4a, der nur durch Kornwachstum von SiC und ohne Polieren ausgebildet wird, derart ausgebildet, dass er sich innerhalb des runden Abschnitts 3c und in dem Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger von dem vertikalen Umfangsabschnitt 3b der Vertiefung 3 aus befindet. Die Oberflächenrauigkeit Ra (arithmetische Oberflächenrauigkeit, die durch JIS B 0601-1994 definiert wird) einer Oberfläche 3a, wo der Halbleiter-Wafer W in Berührung mit dem Suszeptor kommt, ist in einem Bereich von 0,5 μm oder mehr und 3 μm oder weniger festgelegt. Das heißt, dass ein Abstand x, der von der Innenumfangskante 4a1 zu dem vertikalen Außenumfangsabschnitt 3b definiert ist, in dem Bereich von 0,05 bis 0,3 mm ist, wenn von dem vertikalen Außenumfangsabschnitt 3b aus gemessen wird.
  • Wenn der Abstand x von dem vertikalen Außenumfangsabschnitt kleiner als 0,05 mm ist, wird der Entspannungseffekt bzw. Entlastungseffekt nicht gezeigt. Andererseits, wenn er 0,3 mm überschreitet, kommt der Kornwachstumsoberflächenabschnitt in Berührung mit einer Rückfläche des Wafers, wodurch der Wafer wahrscheinlich beschädigt wird. Zudem ist die Ra des Korn wachstumsoberflächenabschnitts bevorzugt in dem Bereich von 5 μm oder mehr und 10 μm oder weniger. Wenn die Oberflächenrauigkeit Ra des Kornwachstumsoberflächenabschnitts kleiner als 5 μm ist, gibt es viele Korngrenzen, die wahrscheinlich Risse aufgrund der Belastung bzw. Spannung durch die Korngrenzen verursachen. Wenn die Ra 10 μm überschreitet, ist zudem der Entspannungseffekt bzw. Entlastungseffekt groß und in der Vertiefung 3 wird ein Winkel, der zwischen einer Oberfläche, wo der Wafer angeordnet ist, und dem vertikalen Außenumfangsabschnitt definiert ist, wahrscheinlich verzogen.
  • Ein Material des Basismaterials kann optional ausgewählt werden. Wenn jedoch isostatischer Graphit angewandt wird, kann eine gewünschte Form leicht erhalten werden.
  • In der Ausführungsform kann, da der Halbleiter-Wafer nicht in Berührung mit dem vertikalen Außenumfangsabschnitt 3b kommt, die Oberfläche 3b unpoliert als eine SiC-Kornwachstumsoberfläche verbleiben.
  • Als Nächstes wird ein Herstellungsverfahren eines Suszeptors gemäß der Erfindung beschrieben.
  • Wie in 5 gezeigt wird, wird ein Suszeptor 1 hergestellt, indem eine Oberfläche 2a aus einem Kohlenstoffbasismaterial 2, das mit einer Vertiefung 3 versehen ist, mit einem SiC-Film 4 beschichtet wird. Eine Wafer-Anbringungsoberfläche 3a und ein vertikaler Außenumfangsabschnitt 3b werden mit einer Poliermaschine derart poliert, dass die jeweilige Oberflächenrauigkeit Ra in den Bereich von 0,5 μm oder mehr und 3 μm oder weniger fällt. Zudem wird auch ein runder Abschnitt 3c derart poliert, dass seine Oberflächenrauigkeit Ra in den Bereich von 0,5 μm oder mehr und 3 μm oder weniger fällt, wobei ein unpolierter Oberflächenbereich verbleibt, der durch einen Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger von dem vertikalen Außen umfangsabschnitt 3b aus definiert ist. Das heißt, dass der unpolierte Oberflächenabschnitt des runden Abschnitts 3c nicht poliert wird, sodass der SiC-Kornwachstumsoberflächenabschnitt 4a übrig bleibt. Dementsprechend werden in dem unpolierten Oberflächenabschnitt, der der SiC-Kornwachstumsoberflächenabschnitt 4a ist, feine Risse aufgrund der Belastung bzw. Spannung während des Polierens nicht erzeugt.
  • Ein Wärmebehandlungsverfahren eines Halbleiter-Wafers mit einem Suszeptor gemäß der Erfindung wird nachfolgend beschrieben.
  • Wie in 2 und 3 gezeigt ist, wird ein Halbleiter-Wafer W auf einer Wafer-Anbringungsoberfläche 3a, die auf dem Suszeptor 1 ausgebildet ist, der in einem Ofen angeordnet wird, derart angeordnet, dass der Halbleiter-Wafer W in einer Vertiefung 3 aufgenommen wird.
  • Zu dieser Zeit kommt der Halbleiter-Wafer W, der angefast ist und mit einem schrägen Abschnitt c versehen ist, nicht in Berührung mit dem SiC-Kornwachstumsoberflächenabschnitt 4a des runden Abschnitts 3c.
  • Danach wird ein Rohmaterialgas dem Ofen zugeführt und der Suszeptor 1 und der Halbleiter-Wafer W werden erwärmt, um eine Wärmebehandlung des Halbleiter-Wafers W anzuwenden.
  • In einem solchen Wärmebehandlungsprozess wird der Suszeptor 1 mit dem runden Abschnitt 3c an einem unteren Abschnitt des Außenumfangsabschnitts der Vertiefung, auf dem der Wafer W angeordnet ist, mit einem ringähnlichen SiC-Kornwachstumsoberflächenabschnitt (der nicht poliert wird und nicht plan ist) innerhalb des runden Abschnitts 3c und wenigstens in den Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger von dem vertikalen Außenumfangsabschnitt des Vertiefungsabschnitts 3 ist, und mit geeigneten Unregelmäßigkeiten aufgrund der SiC-Körner in dem Außenumfangsabschnitt bereitgestellt. Dementsprechend kann der Suszeptor 1 stabil verwendet werden, ohne dass solche Probleme verursacht werden, dass ein Gas an einem Außenumfangseckabschnitt der Vertiefung 3 verbleibt und dass daraus resultierend der Wafer W sich von der Vertiefung 3 abhebt. Zudem wird ein Berührungsabschnitt mit dem Wafer W in der Vertiefung 3 mit einer gleichmäßigen Oberfläche mit der Oberflächenrauigkeit Ra in einem Bereich von 0,5 μm oder mehr und 3 μm oder weniger (es gibt weder Verbindungslöcher noch gitterähnliche Rillen) ausgebildet. Dementsprechend kann der Wafer W einer gleichmäßigen Wärmebehandlung in einer Ebene davon unterzogen werden.
  • Da die Wafer-Auflageoberfläche 3a, mit der der Halbleiter-Wafer W in Berührung kommt, derart poliert wird, dass die Oberflächenrauigkeit Ra 0,5 μm oder mehr und 3 μm oder weniger beträgt, schneidet die Wafer-Auflageoberfläche 3a des Suszeptors nicht eine Oberfläche des Halbleiter-Wafers. Da ein ringähnlicher Innenumfangsrand 4a1 des unpolierten SiC-Kornwachstumsoberflächenabschnitts 4a derart ausgebildet ist, dass er sich in dem runden Abschnitt und in dem Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger von dem vertikalen Außenumfangsabschnitt der Vertiefung 3 aus befindet, werden keine feinen Risse aufgrund des Polierens auf dem SiC-Kornwachstumsoberflächenabschnitt 4a verursacht und werden auch keine Risse, die aus den feinen Rissen aufgrund der thermischen Beanspruchung während der Wärmebehandlung entstehen, auf der Filmoberfläche erzeugt.
  • Wie vorstehend erwähnt wurde, wird gemäß der Ausführungsform eine Oberfläche des Halbleiter-Wafers nicht durch die Scheitel bzw. Spitzen der Körner auf einer SiC-Filmoberfläche geschnitten. Auch nach einer längeren Zeitdauer werden keine Risse in dem unteren Abschnitt des Außenumfangsabschnitts der Vertie fung erzeugt, auf dem der Wafer angeordnet wird. Folglich kann der Suszeptor für eine lange Zeit verwendet werden. Zudem kann der Wafer stabil verwendet werden, ohne dass er von der Vertiefung abhebt, und eine gleichmäßige Erwärmung kann auf die Wafer-Oberfläche angewandt werden.
  • Gemäß der Ausführungsform wird ein Beispiel, in dem eine Vielzahl von Wafer-Anbringungsvertiefungen auf einem Basismaterial angeordnet ist, beispielhaft erläutert. Jedoch können auch, wenn der Suszeptor gemäß der Erfindung ein Suszeptor vom Plattentyp ist, in dem eine Vertiefung bzw. Aufnahme auf einem Basismaterial ausgebildet ist, die gleichen Vorteile erhalten werden.
  • Eine andere Ausführungsform des Suszeptors gemäß der vorliegenden Erfindung wird nachfolgend beschrieben.
  • Gemäß der Ausführungsform ist in der Ausführungsform, die in 2 gezeigt ist, ein Suszeptor in dem Bereich der Oberflächenrauigkeit eines planaren Außenumfangsabschnitts beschränkt, der sich an einen Außenumfangsabschnitt der Vertiefung anschließt.
  • Zum Beispiel ist, wie in 7 gezeigt ist, ein Suszeptor 11 gemäß der Ausführungsform mit einem planaren Außenumfangsabschnitt 3d versehen, der einen Teil oder eine Gesamtheit einer Oberfläche des Suszeptors 11 ausbildet, die sich an den Außenumfangsabschnitt der Vertiefung 3 anschließt, wobei der planare Außenumfangsabschnitt 3d eine ungleichmäßige Form ausbildet, die eine arithmetische Durchschnittsrauigkeit Ra (die in JIS B0601-1994 definiert ist) in dem Bereich von 0,05 μm oder mehr und 0,5 μm oder weniger hat.
  • Dementsprechend, wie in 8 gezeigt ist, erreicht zum Beispiel in einem Epitaxiewachstumsprozess ein Rohmaterialgas, das einem Glockengehäuse 22 einer Epitaxievorrichtung 21 zugeführt wird, einen planaren Außenumfangsabschnitt 3d. Hintereinander folgend fließt das Rohmaterialgas durch konkave Abschnitte des planaren Außenumfangsabschnitts 3d, die zwischen bzw. von vorstehenden Abschnitten in einer geeigneten, unregelmäßigen Form des planaren Außenumfangsabschnitts 3d definiert bzw. begrenzt sind. Der Fluss des Rohmaterials wird dementsprechend durch die konkaven Abschnitte gleichmäßig gemacht. Zudem werden Verunreinigungen, die in dem Rohmaterialgasfluss enthalten sind, angehäuft und an den konkaven Abschnitten abgelagert. Da es keine Verwirbelung in einem Rohmaterialgasfluss gibt, der über den Wafer fließt, kann folglich eine gleichförmige Epitaxieschicht ausgebildet werden. Zudem wird sogar nach einer Langzeitverwendung verhindert, dass sich Verunreinigungen auf der Epitaxieschicht ablagern, und ein Ausfall aufgrund der Verunreinigungen kann reduziert werden.
  • Wenn die Oberflächenrauigkeit des planaren Außenumfangsabschnitts 0,5 μm oder mehr beträgt, wird der Reinigungseffekt verschlechtert. Andererseits, wenn die Oberflächenrauigkeit 0,05 μm oder weniger beträgt, wird der Gasfluss an dem planaren Außenumfangsabschnitt zu stark gleichmäßig gemacht, wodurch anormale Wachstumsvorsprünge auf einer Stromabwärtsseite des Gasflusses ausgebildet werden, das heißt auf einer Vertiefungsseite des planaren Außenumfangsabschnitts, was eine Verschlechterung der Gleichmäßigkeit einer Epitaxieschicht auf dem Wafer nach der wiederholten Verwendung ergibt.
  • Der planare Außenumfangsabschnitt in diesem Suszeptor, wo fünf Vertiefungen auf einem Basismaterial, wie in der Ausführungsform gezeigt ist, ausgebildet sind, nimmt bevorzugt 70% oder mehr der Oberfläche des Basismaterials ein, ausgenommen die Vertiefungen. Wenn er 70% überschreitet, kann der Gasfluss erheblich gleichgerichtet bzw. gereinigt werden. Zudem belegt der planare Außenumfangsabschnitt in dem Fall eines Platten typ-Suszeptors, wo eine Vertiefung auf dem Basismaterial ausgebildet wird, bevorzugt die gesamte Oberfläche.
  • Zudem kann auch in dem Fall, dass ein Basismaterial, das den planaren Außenumfangsabschnitt enthält, der um die Vertiefung herum ausgebildet ist, konstruktiv schwierig herzustellen oder schwierig einstückig mit der Vertiefung aufzubauen ist, oder in dem Fall, dass die Vertiefung und das Basismaterial unterschiedlich in der Lebensdauer sind, auch wenn das Basismaterial und die Vertiefung teilweise oder insgesamt getrennt hergestellt werden, die Erfindung den identischen Effekt zeigen.
  • Da weitere Konfigurationen nicht von der Konfiguration des Suszeptors, der in 2 gezeigt ist, abweichen, werden diese mit den gleichen Bezugszeichen bezeichnet und Beschreibungen davon werden hier weggelassen.
  • [Beispiele]
  • [Experiment 1]
  • (Beispiel 1): Ein isostatisches Kohlenstoffbasismaterial, das maschinell in eine Suszeptor-Form gebracht worden ist, die eine Vertiefung hat, wurde mit SiC beschichtet, das eine Oberflächenrauigkeit Ra von 7,2 μm hat. Eine Anbringungsoberfläche der Vertiefung, ohne ein Gebiet, das 0,3 mm von einer Außenumfangsseite davon als ein unpolierter Abschnitt definiert ist, wurde mit einer Drehpoliermaschine mit Diamantschleifkörnern von #500 oder weniger geschliffen und poliert, um einen Suszeptor herzustellen.
  • (Beispiel 2): Ein Suszeptor wurde auf ähnliche Art und Weise wie Beispiel 1 hergestellt, mit der Ausnahme, dass der unpolierte Abschnitt an der Außenumfangsseite der Vertiefung auf 0,05 mm gesetzt wurde.
  • (Beispiel 3): Ein Suszeptor wurde auf ähnliche Art und Weise wie Beispiel 1 hergestellt, mit der Ausnahme, dass Schleifkörner der Drehpoliermaschine auf #40 oder weniger gesetzt wurden.
  • (Beispiel 4): Ein Suszeptor wurde auf ähnliche Art und Weise wie Beispiel 2 hergestellt, mit der Ausnahme, dass Schleifkörner auf #40 oder weniger gesetzt wurden.
  • (Vergleichsbeispiel 1): Ein Suszeptor wurde auf ähnliche Art und Weise wie Beispiel 1 hergestellt, mit der Ausnahme, dass ein unpolierter Abschnitt an der Außenumfangsseite der Vertiefung mit 0,4 mm eingerichtet wurde.
  • (Vergleichsbeispiel 2): Ein Suszeptor wurde auf ähnliche Art und Weise hergestellt wie Beispiel 3, mit der Ausnahme, dass ein unpolierter Abschnitt an der Außenumfangsseite der Vertiefung mit 0,4 mm eingerichtet wurde.
  • (Vergleichsbeispiel 3): Ein Suszeptor wurde auf ähnliche Art und Weise wie in Beispiel 3 hergestellt, mit der Ausnahme, dass Schleifkörner der Drehpoliermaschine auf #800 oder weniger gesetzt wurden.
  • (Vergleichsbeispiel 4): Ein Suszeptor wurde auf ähnliche Art und Weise wie das Beispiel 3 hergestellt, mit der Ausnahme, dass die Schleifkörner der Drehpoliermaschine auf #800 oder weniger gesetzt wurden.
  • Die Oberflächenrauigkeit der einzelnen Beispiele und Vergleichsbeispiele wurde gemessen. Zudem wurde jedes in einer Epitaxiewachstumsvorrichtung eingerichtet, wonach die Verarbeitung von 100 Silizium-Wafern folgte. Nach der Verarbeitung wurde die Anzahl der Wafer überprüft, deren hintere Oberfläche oder Rückfläche beschädigt war, und Risse in der Vertiefung nach der Verwendung wurden auch überprüft.
  • Die Ergebnisse sind in der Tabelle 1 gezeigt.
  • [Tabelle 1]
    Figure 00160001
  • Wie aus der Tabelle 1 auch offensichtlich ist, wo die Weite der Kornwachstumsoberfläche und Ra der polierten Oberfläche jeweils innerhalb der Bereiche der Erfindung sind, sind alle frei von einer Beschädigung des Wafers und von Rissen in der Vertiefung.
  • Im Unterschied hierzu wurde im Vergleichsbeispiel 1, wo die Weite der Kornwachstumsoberfläche außerhalb des oberen Grenzwertes ist und wo Ra der polierten Oberfläche innerhalb der unteren Grenze ist, die Beschädigung von 12 Wafern gefunden und ein Riss in der Vertiefung wurde nicht gefunden.
  • Im Vergleichsbeispiel 2, wo die Weite der Kornwachstumsoberfläche außerhalb der oberen Grenze ist und wo Ra der polierten Oberfläche innerhalb der oberen Grenze ist, wurde die Beschädigung von 42 Wafern gefunden und ein Riss in der Vertiefung wurde nicht gefunden.
  • Im Vergleichsbeispiel 3, wo die Weite der Kornwachstumsoberfläche innerhalb der unteren Grenze ist und wo Ra der polierten Oberfläche außerhalb der unteren Grenze ist, wurde die Beschädigung an 11 Wafern gefunden, trat ein Verschmelzen zwischen dem Wafer und der Wafer-Anbringungsoberfläche auf und ein Riss in der Vertiefung wurde nicht gefunden.
  • Im Vergleichsbeispiel 4, wo die Weite der Kornwachstumsoberfläche innerhalb der unteren Grenze ist und Ra der polierten Oberfläche außerhalb der unteren Grenze ist, wurde die Beschädigung von 16 Wafern gefunden, trat ein Verschmelzen zwischen dem Wafer und der Wafer-Anbringungsoberfläche bzw. der Waferauflagefläche auf und ein Riss in der Vertiefung wurde nicht gefunden.
  • [Experiment 2]
  • SiC wurde gemäß einem bekannten Verfahren auf einen isostatischen Kohlenstoff, der in eine Suszeptor-Form mit einer Vertiefung und einem planaren Außenumfangsabschnitt in einem Außenumfang der Vertiefung per Maschine hergestellt wurde, aufgetragen, wonach Schleifen und Polieren des planaren Außenumfangsabschnitts für ein verschiedenartiges Finish folgte. Wie in der Tabelle 2 gezeigt ist, wurden die Oberflächenrauigkeit des planaren Außenumfangsabschnitts und das Bereichsverhältnis des planaren Außenumfangsabschnitts zum Oberflächenbereich ei nes Basismaterials, ohne die Vertiefung, variiert, um die Beispiele 5 bis 9 und Vergleichsbeispiele 5 und 6 erzeugen zu können.
  • Mit jedem der Suszeptoren gemäß den Beispielen 5 bis 9 und den Vergleichsbeispielen 5 und 6 wurden 100 Silizium-Wafer dem Epitaxiewachstumsprozess unterzogen, wonach ein Ermitteln der Gleichmäßigkeit der Epitaxieschicht und der Verunreinigungskonzentration folgte.
  • [Tabelle 2]
    Figure 00180001
  • Wie aus der Tabelle 2, den Beispielen 5 bis 9, offensichtlich ist, bei denen die Oberflächenrauigkeit Ra des planaren Außenumfangsabschnitts innerhalb des Bereichs der Erfindung ist, sind alle niedrig bzw. selten bezüglich des Auftretens des Ungleichmäßigkeitsfehlers und des Verunreinigungsfehlers. Im Unterschied hierzu sind die Vergleichsbeispiele 5 und 6, deren Oberflächenrauigkeit Ra außerhalb des Bereichs der Erfindung ist, alle häufig bezüglich des Auftretens des Gleichmäßigkeitsfehlers und das Vergleichsbeispiel 6 ist auch häufig bezüglich des Verunreinigungsfehlers.
  • Obwohl hier die Erfindung in Verbindung mit den bevorzugten Ausführungsformen beschrieben worden ist, ist es für Fachleute offensichtlich, dass verschiedene Änderungen und Modifikationen darin ausgeführt werden können, ohne dass von der vorliegenden Erfindung abgewichen wird, und es ist deshalb beabsichtigt, in den angehängten Ansprüchen alle diese Änderungen und Modifikationen abzudecken, wenn sie in den wahren Bereich der vorliegenden Erfindung fallen.

Claims (4)

  1. Suszeptor, von dem mindestens eine Oberfläche mit SiC beschichtet ist und der eine Vertiefung aufweist, wo ein Wafer angeordnet ist, wobei die Vertiefung enthält: einen runden Abschnitt, der sich an einem unteren Abschnitt eines Außenumfangsabschnitts der Vertiefung befindet; einen ringförmigen SiC-Kristallwachstumsoberflächenabschnitt, der innerhalb des runden Abschnitts in einem Bereich von 0,05 mm oder mehr und 0,3 mm oder weniger, begrenzt von einem vertikalen Außenumfangsabschnitt der Vertiefung, vorgesehen ist; und einen Berührungsabschnitt, wo der Suszeptor mit dem Wafer in der Vertiefung in Berührung kommt und der eine Oberflächenrauigkeit Ra in einem Bereich von 0,5 μm oder mehr und 3 μm oder weniger hat.
  2. Suszeptor nach Anspruch 1, worin ein planarer Außenumfangsabschnitt, der sich an den Außenumfangsabschnitt der Vertiefung anschließt und teilweise oder vollständig eine Oberfläche des Suszeptors ausbildet, eine unregelmäßige Form hat, die eine arithmetische Durchschnittsrauigkeit Ra in einem Bereich von 0,05 μm oder mehr und 0,5 μm oder weniger hat.
  3. Suszeptor nach Anspruch 2, der fünf Vertiefungen aufweist, worin der planare Außenumfangsabschnitt 70% oder mehr der Oberfläche des Suszeptors ohne die Vertiefungen besetzt.
  4. Suszeptor nach Anspruch 2, der eine Vertiefung aufweist, worin der planare Außenumfangsabschnitt die Gesamtheit der Oberfläche des Suszeptors mit der Ausnahme der Vertiefungen abdeckt.
DE102005045081A 2004-09-29 2005-09-21 Suszeptor Active DE102005045081B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004-285280 2004-09-29
JP2004285280A JP4223455B2 (ja) 2004-03-23 2004-09-29 サセプタ

Publications (2)

Publication Number Publication Date
DE102005045081A1 true DE102005045081A1 (de) 2006-04-20
DE102005045081B4 DE102005045081B4 (de) 2011-07-07

Family

ID=36097583

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005045081A Active DE102005045081B4 (de) 2004-09-29 2005-09-21 Suszeptor

Country Status (2)

Country Link
US (1) US7393418B2 (de)
DE (1) DE102005045081B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007027740A2 (en) * 2005-08-30 2007-03-08 Cree, Inc. Heat sink assembly and related methods for semiconductor vacuum processing systems

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009020024A1 (ja) * 2007-08-03 2009-02-12 Shin-Etsu Handotai Co., Ltd. サセプタ及びシリコンエピタキシャルウェーハの製造方法
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
KR20130095276A (ko) * 2010-08-20 2013-08-27 어플라이드 머티어리얼스, 인코포레이티드 수명이 연장된 증착 링
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9517539B2 (en) 2014-08-28 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer susceptor with improved thermal characteristics
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10053774B2 (en) * 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP6564151B1 (ja) * 2019-02-28 2019-08-21 株式会社アドマップ SiC膜単体構造体
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5610921A (en) * 1979-07-09 1981-02-03 Toshiba Ceramics Co Ltd Material for equipment for manufacturing semiconductor and its treating furnace
US5200157A (en) * 1986-02-17 1993-04-06 Toshiba Ceramics Co., Ltd. Susceptor for vapor-growth deposition
JP3317781B2 (ja) * 1994-06-08 2002-08-26 東芝セラミックス株式会社 半導体ウエハの熱処理用サセプタの製造方法
US7255775B2 (en) * 2002-06-28 2007-08-14 Toshiba Ceramics Co., Ltd. Semiconductor wafer treatment member

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007027740A2 (en) * 2005-08-30 2007-03-08 Cree, Inc. Heat sink assembly and related methods for semiconductor vacuum processing systems
WO2007027740A3 (en) * 2005-08-30 2007-06-28 Cree Inc Heat sink assembly and related methods for semiconductor vacuum processing systems
US7622803B2 (en) 2005-08-30 2009-11-24 Cree, Inc. Heat sink assembly and related methods for semiconductor vacuum processing systems

Also Published As

Publication number Publication date
US7393418B2 (en) 2008-07-01
US20060065196A1 (en) 2006-03-30
DE102005045081B4 (de) 2011-07-07

Similar Documents

Publication Publication Date Title
DE102005045081A1 (de) Suszeptor
DE10228530B4 (de) Halbleiterwafer-Zerteilverfahren
DE112005001447B4 (de) Doppelseitenpolierträger und Herstellungsverfahren desselben
EP0916450B1 (de) Verfahren und Vorrichtung zum Polieren von Halbleiterscheiben
DE19715460C2 (de) Haltevorrichtung und Halteringvorrichtung zum Polieren eines Werkstücks
DE102005045337B4 (de) Epitaxierte Siliciumscheibe und Verfahren zur Herstellung von epitaxierten Siliciumscheiben
DE112016005920T5 (de) Verfahren zum beidseitigen Polieren eines Wafers, Verfahren zum Herstellen eines Epitaxialwafers und Verwendung desselben sowie Epitaxialwafer
DE69928154T2 (de) Ultra-feine Nutenschneidspitze und ultra-feines Nutenwerkzeug
DE3335116A1 (de) Halbleiterplaettchen sowie verfahren und vorrichtung zu ihrer herstellung
DE112009000387T5 (de) Träger für eine Doppelseitenpoliervorrichtung, Doppelseitenpoliervorrichtung, bei der dieser Träger verwendet wird, und Doppelseitenpolierverfahren
DE112012004124T5 (de) Verfahren zur Bearbeitung von Wafern
DE102007026292A1 (de) Verfahren zur einseitigen Politur nicht strukturierter Halbleiterscheiben
DE112009002528B4 (de) Rohblockschneidvorrichtung und Rohblockschneidverfahren
DE102015225663A1 (de) Verfahren zum epitaktischen Beschichten von Halbleiterscheiben und Halbleiterscheibe
DE112015006224B4 (de) Einzelwaferverarbeitungsverfahren zum polieren einer seite eines halbleiterwafers und einzelwaferverarbeitungsvorrichtung zum polieren einer seite eines halbleiterwafers
DE102011082777A1 (de) Verfahren zum beidseitigen Polieren einer Halbleiterscheibe
DE112007002816B4 (de) Vertikales Boot für eine Wärmebehandlung und Wärmebehandlungsverfahren von Halbleiter-Wafern unter Verwendung desselben
DE102018210393A1 (de) Bearbeitungsverfahren für ein Substrat
DE112014001031T5 (de) Halterungsbaugruppe und Verfahren zur Herstellung derselben
DE102016203837A1 (de) Schleifscheibe
DE19722679A1 (de) Scheibenhalter und Verfahren zur Herstellung einer Halbleiterscheibe
DE19629756A1 (de) Verfahren zum Polieren eines Halbleitersubstrats
DE2901968A1 (de) Verfahren zur positionierung und planisierung eines substrats
DE112012002597T5 (de) Verfahren zur Herstellung eines Siliciumcarbidsubstrats
DE69531247T2 (de) Orientierte kristallanordnung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: COVALENT MATERIALS CORP., TOKYO, JP

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021670000

Ipc: H01L0021683000

R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20111008

R081 Change of applicant/patentee

Owner name: COORSTEK KK, JP

Free format text: FORMER OWNER: COVALENT MATERIALS CORP., TOKYO, JP

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R081 Change of applicant/patentee

Owner name: COORSTEK GK, JP

Free format text: FORMER OWNER: COORSTEK KK, TOKIO/TOKYO, JP