CN1547760A - 用于半导体制造系统的加热器模块 - Google Patents

用于半导体制造系统的加热器模块 Download PDF

Info

Publication number
CN1547760A
CN1547760A CNA038009196A CN03800919A CN1547760A CN 1547760 A CN1547760 A CN 1547760A CN A038009196 A CNA038009196 A CN A038009196A CN 03800919 A CN03800919 A CN 03800919A CN 1547760 A CN1547760 A CN 1547760A
Authority
CN
China
Prior art keywords
heater
semiconductor manufacturing
heater section
manufacturing facility
described heater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038009196A
Other languages
English (en)
Other versions
CN100353493C (zh
Inventor
ƽ
柊平启
仲田博彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Industries Ltd
Original Assignee
Sumitomo Electric Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Industries Ltd filed Critical Sumitomo Electric Industries Ltd
Publication of CN1547760A publication Critical patent/CN1547760A/zh
Application granted granted Critical
Publication of CN100353493C publication Critical patent/CN100353493C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Abstract

一种加热器模块和使用所述加热器模块的半导体制造设备,用于相比传统加热器模块显著地提高加热后的加热器的冷却速度,并且对改善和提高生产率作出贡献,而且不会使半导体制造设备的尺寸变大、成本增加。所述加热器模块设有:加热器部分(1a),用于可控地加热放置在其顶部表面上的晶片;以及可相对于加热器部分移动的块体部分(3a),用于通过邻接加热器部分(1a)的背面或与加热器部分(1a)的背面分离来与加热器部分(1a)一起改变总热容。通过使块体部分(1b)的热容为加热器部分(1a)和块体部分(1b)的总热容的20%或更多,可以使加热器冷却速度为10℃/min或更多。

Description

用于半导体制造系统的加热器模块
技术领域
本发明涉及一种加热器模块,其用在加工半导体晶片的半导体制造工具中,用于能热处理和冷却晶片的半导体制造设备,并且本发明涉及其中安装有所述加热器模块的半导体制造设备。
背景技术
在半导体制造过程中,经加热处理之后晶片被冷却的过程包括:利用涂敷器/显影器在光刻时热固光刻胶;加热/焙烧低介电常数即低-k绝缘薄膜;在形成金属互连和介电层中沉积CVD薄膜;在蚀刻器中的处理。
传统上,使用由铝或陶瓷制成的加热器执行这些工艺中的晶片热处理。具体来说,晶片放置在其中形成加热元件的加热器的外表面上,所述加热元件用于在晶片经历诸如热固光刻胶和加热/焙烧低-k薄膜、或沉积CVD薄膜和蚀刻等过程时控制加热。
近来,为了提高这些过程的生产率,需要提高加热后的加热器的冷却速度。出于同样的原因,用于加快经处理物件的冷却以提高它们特性的设计已变得普遍,尤其是随着晶片直径跨距的增大,对于提高冷却速度的需求增加。
迄今为止,强制液体冷却和空气冷却已被采用,以便在半导体制造设备应用中快速冷却加热器。在特定期间,冷却块安装在加热器上,通常在背侧上,作为传热介质的液体或空气循环通过所述冷却块,通过这样将热从加热器中带走,从而提高了冷却速度。
然而,由于使用这些强制液体冷却和空气冷却系统,需要用于循环传热介质和辐射热量的大型设备的事实已被证明是半导体制造中增加成本的因素。同样地,由于在加热器有限的空间中不可能增大传热介质的容量,因此很难大幅度地提高加热器冷却速度。
发明内容
考虑到目前的情况,本发明的目的是提出一种加热器模块,以及使用所述加热器模块的半导体制造设备,使得有可能显著地提高加热后的加热器的冷却速度,并且对改善和提高生产率有贡献,同时不会伴随半导体制造设备的尺寸增加以及成本增加的问题。
为了实现前述目的,对于半导体制造设备,本发明提供的加热器模块的特征在于,设有:加热器部分,用于可控地加热放置在其外表面上的晶片;以及被设置为可相对加热器部分移动的块体部分,用于通过邻接加热器部分的背侧并与加热器部分的背侧分离以与加热器部分一起改变总热容。特别是,块体部分的热容是加热器部分和块体部分总热容的20%或更多。
本发明的用于半导体制造设备的前述加热器模块的优点在于,第一方面,在加热期间,使加热器部分和块体部分邻接,而在冷却期间,块体部分相对加热器部分移动并与加热器部分分离开,从而加快加热器部分的冷却速度。另一个优点在于,第二方面,在加热期间,加热器部分和块体部分分离,而在冷却期间,块体部分和加热器部分相对移动到邻接状态以将热量传导入块体部分中,从而加快加热器部分的冷却速度。
通过本发明的用于半导体制造设备的前述加热器模块,当加热器部分和块体部分邻接时,优选通过将块体部分真空装卡至加热器部分而将它固定至加热器部分。另外,优选邻接表面中的至少任意一个表面是镜面,其中加热器部分和块体部分沿着所述邻接表面彼此相邻接。
并且,通过本发明的用于半导体制造设备的前述加热器模块,块体部分可以附加到半导体制造设备的室底部,或者移动到邻接在室底部上。在那种情况下,优选室底部是水冷的。
在本发明的用于半导体制造设备的前述加热器模块中,优选加热器部分是陶瓷,其中形成有加热元件。优选陶瓷是从氧化铝、氮化铝、氮化硅、碳化硅和氮化硼中选出的至少一种。
此外,在本发明的用于半导体制造设备的前述加热器模块中,优选块体部分是从铝、镁、铜、铁、不锈钢、氧化铝、氮化铝、氮化硅、碳化硅和氮化硼中选出的至少一种。
优选本发明的用于半导体制造设备的前述加热器模块用在CVD设备、蚀刻器设备、涂敷器/显影器设备或低-k介质焙烧设备中。
此外,本发明提供一种半导体制造设备,其特征在于,在所述半导体制造设备中安装有本发明的用于半导体制造设备的上述加热器模块。
附图简述
图1是示出本发明第一方面中的加热器模块的一个特定例子的示意性剖视图;
图2是示出本发明第二方面中的加热器模块的一个特定例子的示意性剖视图;和
图3是示出本发明第二方面中的加热器模块的单独的特定例子的示意性剖视图。
具体实施方式
当已被加热的加热器被冷却时,它的热容影响冷却速度。加热器的热容越大,冷却速度将越慢;相反地,热容越小,冷却速度将越快。出于提高冷却速度的目的,可以想到的一种减小加热器热容的方法是使加热器的厚度减小。
同时,晶片必须被均匀加热,相应地加热器的晶片承载表面要求高度等温,因此希望使用的加热器的厚度能在所有方向上均匀地传播加热元件产生的热量。然而,使加热器的厚度减小以便提高它的冷却速度会引起这样的问题:即它的均匀传播热量方面的效果变差,并且加热器的晶片承载表面中的等温特性被破坏。
为了解决这种问题,本发明提供:加热器部分,用于可控地加热放置在它的外表面上的晶片;以及可相对加热器部分移动的块体部分,所述块体部分可邻接在加热器部分的背侧上及从加热器部分的背侧分离开。利用以该方式由加热器部分和块体部分构成的加热器模块,通过块体部分与加热器部分的背侧相邻接以及与加热器部分的背侧相分离可以改变加热器部分和块体部分的总的热容,并且利用总热容的这种改变,能够改善和提高加热器的等温特性,同时提高加热器的冷却速度。
特别是,块体部分的热容是加热器部分和块体部分的总热容的20%或更多时,能使更多的热量从加热器传递至与加热器邻接的块体部分,或者能使更多的热量从与块体部分分离开的加热器传播至周围环境中,基于此有望实现很高的冷却速度。可以理解,块体的热容越大,加热器部分的冷却速度提高的越多。然而,由于块体部分的热容的增加意味着室—以及从总体上说,设备—也必须增大,因此必须考虑提高冷却速度的目标和设备总体的经济性的基础上设计块体热容。
本发明第一方面的加热器模块的一个特定例子示出在图1中。加热器模块装有加热器部分1a,加热器部分1a的内部形成有加热元件2,加热器模块还装有块体部分3a,该块体部分3a设在加热器部分1a的背侧处,可沿导轴4上下移动,其中在加热期间,加热器部分1a和块体部分3a邻接,如图1(a)所示。
当加热器模块将要加热时,加热器部分1a和块体部分3a联合以形成大热容加热器;而当它将要被冷却时,如图1(b)所示,块体3a与加热器部分1a分离开,朝向设备室的底部5下降。由此,利用加热器部分1a被单独留下、从而具有较小热容的事实,促进热辐射,并且加速加热部分1a的冷却速度。
同样地,例如在图2所示的特定例子中,作为第二方面中的加热器模块,图2(a)所示加热器部分1b和块体部分3b在加热期间分离,而在冷却期间,如图2(b)所示,块体部分3b被提升以与固定的加热器部分1b的背侧邻接。由于加热器部分1b中的热量传递至具有个体化热容的块体部分3b,因此块体1b的邻接使加热器部分1b的冷却速度加快。
在图3所示的加热器模块中,进一步在本发明的第二方面中,块体部分3c是固定的,加热器部分1c沿导轴4上下移动,除此之外,加热器模块与图2中的加热器模块相同。具体来说,在加热期间,加热器部分1c和块体部分3c如图3(a)所示是分离开的,而在冷却期间,通过使加热器部分1c下降到邻接在位于室底部5上的块体部分3c上,使加热器部分1c中的热量传递至块体部分3b。
影响从加热器部分至块体部分的热传递的因素包括在表面中的接触电阻,其中加热器部分和块体部分沿所述表面相邻接。如果接触电阻大,则加热器部分的等温特性和冷却速度易受影响,因为热量从加热器部分传递会花费时间。考虑到这个事实,在块体的顶部表面中或加热器部分的背面中形成通孔,并且在真空泵的抽吸作用下将两者真空装卡在一起,使加热器部分和块体部分的邻接表面紧密地粘附,从而极大地降低接触电阻,因此这对于提高加热器部分的冷却速度是有益的,特别是在第二方面中的加热器模块中。
此外,尤其在第二方面中的加热器模块中,加热期间与加热器部分分离的块体部分易于被来自加热器部分的辐射热加热。假设在这种情况下,将加热器部分和块体部分的相匹配的两个邻接表面或两个邻接表面中的任一表面加工成镜面,这样有可能将来自加热器部分的辐射热反射回去。结果,在进行加热时,加热器部分和块体部分之间的间隙可以更小,从而可以使室的尺寸变小,也可以使设备的尺寸变小。
而且,同样地,如果传递至块体部分的热被保留住,则由于在接着进行冷却时从加热器部分传递的热不能被充分地吸收,因此不能期望提高加热器部分的冷却速度。出于所述原因,优选在块体部分与加热器部分接触而经历热传递之后,使块体部分与加热器部分分离,并且与室的底部接触以将它的热送至室底部中,从而快速冷却块体部分,使它为接下来的冷却作好准备。
这里,优选在邻接和分离加热器部分和块体部分中使用油压或气压,因为这样做能使加热器部分和块体部分平滑地移动。
在本发明中的加热器部分可以是金属(例如铝),或者可以是陶瓷,然而优选其中形成有加热元件的陶瓷。作为构成加热器部分的陶瓷,优选是从氧化铝、氮化铝、氮化硅、碳化硅和氮化硼中选出的至少一种。
因为热冲击和机械冲击均作用在与块体部分邻接的加热器部分的分界表面上,所以在是陶瓷的加热器部分中产生破裂等问题的几率较高。由于这种可能性,通过用金属覆盖由陶瓷制成的加热器部分的表面—至少是与块体部分邻接的表面一以缓解冲击,从而防止在加热器部分中发生破裂等等。
同时,热导率高的金属或陶瓷可以用于块体部分;优选例如是铝、镁、铜、铁、不锈钢、氧化铝、氮化铝、氮化硅、碳化硅和氮化硼。
还优选块体部分在形状上或者与加热器部分相同、或者相类似,并且其中它的直径在加热器部分的直径的±25%之内。可以了解,如上所述,优选块体部分的热容为加热器部分和块体部分的总热容的20%或更多。
传统加热器的冷却速度通常在1℃/min的水平,因为传统加热器的冷却速度仅仅依赖于从具有特定热容的加热器来的辐射热。作为对比,在本发明所限定的加热器模块中,虽然加热器部分的冷却速度依赖于块体部分的热容,然而加热器部分的冷却速度提高到至少为传统水平的几倍。具体来说,如果块体部分的热容设计为是加热器部分和块体部分的总热容的20%或更多,则可以获得10℃/min或更大的冷却速度,从而使生产率显著提高。并且,冷却速度的这种提高意味着可以预期就晶片而言,薄膜的粘合强度提高、机械硬度提高和蚀刻特性增强。
另一个考虑是,在利用热辐射冷却加热器的情况下,冷却速度受表面面积的影响,在加热器侧面附近的温度具有较大的下降趋势,因为与中间部分相比那里的表面面积通常较大,由于这样的影响在冷却期间等温特性质易于变差。然而,在本发明给定的加热器模块中,加热器部分以远远快于通过侧面的冷却速度的速度冷却,尤其在第二方面中的加热器模块中,这是因为热量通过热传导的方式传至块体部分,所以冷却期间的等温性质有广泛的提高余地。具体而言,通过优化加热器和块体部分的参数,在冷却期间可以获得±1%范围内的等温率。
将如上所述的本发明的加热器模块用在用于沉积金属膜和介电膜的CVD设备中、用于蚀刻金属膜和介电膜的蚀刻器设备中、用于在光刻中热固光刻胶的涂敷器/显影器设备中、以及用于加热/焙烧低-k薄膜的低-k介质焙烧设备中,由于被提高的加热器冷却速度的影响,使这样的应用尤其有效。
并且,使用本发明的加热器模块的半导体制造设备是能用于提高生产率和降低成本的装置,通过它可以提高晶片和其他加工物体的特性和性能。
实施例
实施例1
准备成套的两个圆盘,直径为335mm、厚度为10mm,并且由以下表中列出的陶瓷材料制成,并且通过镀钨在每套中的一个圆盘的顶部表面上形成加热元件。每套中的剩余陶瓷圆盘覆盖在该陶瓷圆盘上,从而将加热元件置入夹层结构中,然后使用热压设备对其进行热压接合,从而制成陶瓷加热器部分。
同样,制造块体部分,所述块体部分由以下表中列出的每一金属和陶瓷材料制成,并且具有与前述加热器部分相同的直径。在这样做时,通过改变块体部分的厚度使块体部分相对于加热器和块体部分的总热容的百分比热容如以下表中所示变化。此外,在所有样本中,块体部分的顶部表面(与加热器部分邻接的表面)是通过研磨而进行加工的。
使用这些加热器部分和块体部分组装根据本发明第一方面的加热器模块。即,为它们提供这样的结构:其中,在加热期间,加热器部分和块体部分邻接,而在冷却期间,块体部分下降以与加热器部分分离开。应该理解,块体部分的上升以及它与加热器部分的邻接/固定至加热器部分是通过油压或气压进行的,并且,只有在样本6中,加热器部分和块体部分是通过真空装卡而被牢固地保持的。并且应该理解,在所有样本中,冷却期间加热器部分和块体部分之间的分离距离固定在200mm。
对于每个已被加热(200℃)的样本加热器模块的等温率是这样建立的:将200V电压施加至与块体部分邻接的加热器部分并加热至200℃,保持那个温度10分钟,然后测量加热器模块顶部表面(晶片承载表面)中9个点处的温度。在那以后,块体部分下降以与加热器部分分离,并且测量被留下来以辐射热量的被分离的加热器部分冷却至150℃的速度。这样做的过程中,冷却(150℃)时的等温率由顶部表面中的9个点处的温度建立,正如刚才所述的一样。这些结果被制成表列在以下的表中。
  样品   加热器部分的材料   块体部分的材料   百分比热容 移动&牢固保持 冷却速度(℃/min)      等温率(±%)
  加热时 冷却时
  1     AlN     Al     5   油压     5   0.5   0.7
  2     AlN     Al     15   油压     7   0.5   0.7
  3     AlN     Al     20   油压     10   0.5   0.7
  4     AlN     Al     100   油压     25   0.5   0.7
  5     AlN     Al     200   油压     32   0.5   0.7
  6     AlN     Al     100   真空装卡     27   0.5   0.7
  7     AlN     Al     100   气压     25   0.5   0.7
  8     SiC     Al     100   油压     23   0.6   0.8
  9     Si3N4     Al     100   油压     26   0.9   0.95
  10     Al2O3     Al     100   油压     21   0.9   0.95
  11     BN     Al     100   油压     33   0.4   0.6
  12     AlN     Mg     100   油压     22   0.5   0.7
  13     AlN     Cu     100   油压     28   0.5   0.7
  14     AlN     Fe     100   油压     20   0.5   0.7
  15     AlN     SUS     100   油压     18   0.5   0.7
  16     AlN     Al2O3     100   油压     18   0.5   0.7
  17     AlN     AlN     100   油压     22   0.5   0.7
  18     AlN     Si3N4     100   油压     18   0.5   0.7
  19     AlN     AlN     100   油压     23   0.5   0.7
  20     AlN     BN     100   油压     23   0.5   0.7
根据上述结果,显然,在无论哪一个作为根据本发明的加热器模块的样本中,获得几℃/min或更快的高加热器冷却速度,并且在加热和冷却时将等温率保持在±1%内。特别是,显然通过将块体部分的百分比热容设置为20%或更多,即使在保持好的等温率的同时,也能获得10℃/min或更大的极高加热器冷却速度。
对比例子
准备与前述实施例1中加热器部分相同的加热器部分,由铝制成并且具有60公升/min能容的用空气冷却的冷却块体安装在加热器部分上,并且固定在加热器部分的背面上。可以了解,块体部分没有用在对比例子中。屹今使用的加热器被加热至200℃,将温度保持10分钟,然后通过使用空气冷却的冷却块体将加热器冷却至150℃。
在所述情况下,加热和冷却(150℃)时的等温率以与实施例1相同的方式建立。结果是,1℃/min的加热器冷却速度,加热时的等温率为±1.5%,而冷却时的等温率为±1.7%,这种结果远远差于上述第一实施例1中本发明的样品的结果。
实施例2
使用与前述实施例1中样品4相同的加热器部分和块体部分组装加热器模块,只是AlN制成的加热器部分的背面—即,加热器部分与Al制成的块体部分相邻接的表面—被覆盖有厚度为0.2mm的铜层。
在该加热器模块上实施与实施例1相同的测试和评估,结果是,加热器冷却速度和等温率与实施例1中的样品4的相同。然而,对于实施例1中的样品4,在500个周期中在加热器部分的背面的边缘中出现直径为0.1-0.2mm的凹口,而对于本实施例2的样品,根本看不出凹口或类似缺陷。
实施例3
使用与前述实施例1中样品4相同的加热器部分和块体部分组装加热器模块,只是Al制成的块体部分的顶部表面—即,块体部分与AlN制成的加热器部分相邻接的表面—经抛光处理被精加工成镜面。
在该加热器模块上实施与实施例1相同的测试和评估。由于块体部分的被制成镜子状的顶部表面,从加热器部分辐射的热量被反射回去,从而防止块体部分吸收热量,因此即使加热器部分和块体部分之间的分离距离缩减至50mm,也能获得与实施例1中样品4(其中加热器部分一块体部分间分离距离设置为200mm)相同的加热器冷却速度和等温率。
实施例4
使用与前述实施例1中样品4相同的加热器部分和块体部分组装图2所示的本发明的第二方面的加热器模块,只是块体部分被安装为可利用油压上下移动。即,为加热器模块提供这样的结构:其中在加热期间,加热器部分和块体部分分离开,块体部分与室的底部接触并安置在其上,而在冷却期间,块体部分上升与加热器部分邻接。应该理解,加热器模块的其余方面完全与实施例1中的样品4相同。
已被加热(200℃)的加热器部分的等温率是这样建立的:将加热器部分隔离加热至200℃,保持那个温度10分钟,然后测量顶部表面中9个点处的温度。随后,块体部分上升以与加热器部分邻接,使加热器部分冷却至150℃,并且测量冷却速度,建立冷却(150℃)时的等温率。
结果是,加热器冷却速度和等温率与实施例1中的样品4相同。然而,由于已下降至接触室底部的块体部分降至室温,与实施例1中的样品4相比,为加热器的下一冷却做好准备之前的时间缩短至1/3。
实施例5
使用与前述实施例1中样品4相同的加热器部分和块体部分组装图3所示在本发明的第二方面中的加热器模块,只是加热器部分被安装为可利用油压上下移动。即,为加热器模块提供这样的结构:其中在加热期间,加热器部分和块体部分分离开,块体部分与室的底部接触并安置在其上,而在冷却期间,加热器部分下降与加热器部分邻接。应该理解,加热器模块的其余方面完全与实施例1中的样品4相同。
已被加热(200℃)的加热器部分的等温率是这样建立的:将加热器隔离加热至200℃,保持那个温度10分钟,然后测量顶部表面中9个点处的温度。随后,加热器部分下降以与块体部分邻接,使加热器部分冷却至150℃,并且测量冷却速度,建立冷却(150℃)时的等温率。
结果是,加热器冷却速度和等温率与实施例1中的样品4相同。然而,由于设置在室底部上并与室底部恒定接触的块体部分冷却至室温,与实施例1中的样品4相比,为加热器的下一冷却做好准备之前的时间缩短至1/3。
实施例6
与前述实施例4和对比例子相同的加热器模块安装在低-k薄膜焙烧设备中的适当位置,其中涂敷在12英寸Si晶片上的低-k薄膜被固化的实际实施被进行。
对于固化在使用实施例4的加热器模块的焙烧设备中的低-k薄膜,与使用对比例子的加热器模块的焙烧设备的情况相比,低-k薄膜的粘合强度提高了20%。此外,与对比例子相比,加热器冷却的时间缩减至1/25。
工业应用性
如本发明所给定,可以提供用于半导体制造设备的加热器模块,其中与传统的加热器模块相比,加热后的加热器的冷却速度能提高几倍或更多,优选为10倍或更多,并且能为改善和提高生产率作出贡献。并且,使用所述加热器模块使半导体制造设备的尺寸减小,可以明显地降低成本。

Claims (18)

1.一种用于半导体制造设备的加热器模块,其特征在于,设置有:
加热器部分,用于可控地加热放置在其正面表面上的晶片;以及
被设置为可相对于所述加热器部分移动的块体部分,用于通过邻接所述加热器部分的背侧或与所述加热器部分的背侧分离来与所述加热器部分一起改变总的热容。
2.如权利要求1所述的用于半导体制造设备的加热器模块,其特征在于,所述块体部分的热容是所述加热器部分和所述块体部分总热容的20%或更多。
3.如权利要求1或2所述的用于半导体制造设备的加热器模块,其特征在于,在加热期间,所述加热器部分和所述块体部分邻接,而在冷却期间,所述块体部分相对于所述加热器部分移动并与所述加热器部分分离开,以便加快所述加热器部分的冷却速度。
4.如权利要求1或2所述的用于半导体制造设备的加热器模块,其特征在于,在加热期间,所述加热器部分和所述块体部分分离,而在冷却期间,所述块体部分和所述加热器部分相对移动为邻接状态以将热量传导入所述块体部分中,以便加快所述加热器部分的冷却速度。
5.如权利要求1至4中任一项所述的用于半导体制造设备的加热器模块,其特征在于,当所述加热器部分和所述块体部分邻接时,通过将所述块体部分真空装卡至所述加热器部分而将所述块体部分固定至所述加热器部分。
6.如权利要求1至5中任一项所述的用于半导体制造设备的加热器模块,其特征在于,邻接表面中的至少任意一个表面是镜面,其中所述加热器部分和所述块体部分沿着所述邻接表面彼此邻接。
7.如权利要求1至6中任一项所述的用于半导体制造设备的加热器模块,其特征在于,所述块体部分附加于半导体制造设备的室底部,或者移动至与室底部邻接的状态。
8.如权利要求7所述的用于半导体制造设备的加热器模块,其特征在于,室底部是水冷的。
9.如权利要求1至8中任一项所述的用于半导体制造设备的加热器模块,其特征在于,所述加热器部分是陶瓷,在陶瓷中形成有加热元件。
10.如权利要求9所述的用于半导体制造设备的加热器模块,其特征在于,所述陶瓷是从氧化铝、氮化铝、氮化硅、碳化硅和氮化硼中选出的至少一种。
11.如权利要求9或10所述的用于半导体制造设备的加热器模块,其特征在于,至少与所述块体部分邻接的所述加热器部分的表面覆盖有金属。
12.如权利要求1至11中任一项所述的用于半导体制造设备的加热器模块,其特征在于,所述块体部分是从铝、镁、铜、铁、不锈钢、氧化铝、氮化铝、氮化硅、碳化硅和氮化硼中选出的至少一种。
13.如权利要求1至12中任一项所述的用于半导体制造设备的加热器模块,其特征在于,所述块体部分的形状或者与所加热器部分相同或者相类似,其中块体的直径在所述加热器部分的直径的±25%内。
14.如权利要求1至3中任一项所述的用于半导体制造设备的加热器模块,其特征在于,利用油压或者气压使所述加热器部分和所述块体部分中的任一个相对于另一个移动。
15.如权利要求1至4中任一项所述的用于半导体制造设备的加热器模块,其特征在于,所述加热器部分的冷却速度是10℃/min或更多。
16.如权利要求1至15中任一项所述的用于半导体制造设备的加热器模块,其特征在于,在放置在所述加热器部分上的晶片被冷却时,它的等温率在±1%之内。
17.如权利要求1至16中任一项所述的用于半导体制造设备的加热器模块,其特征在于,所述加热器模块被用在CVD设备、蚀刻器设备、涂敷器/显影器设备或低-k介质焙烧设备中。
18.一种半导体制造设备,其特征在于,在所述半导体制造设备中安装有如权利要求1至17中任一项所述的用于半导体制造设备的加热器模块。
CNB038009196A 2002-06-05 2003-05-19 用于半导体制造系统的加热器模块 Expired - Fee Related CN100353493C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP163747/2002 2002-06-05
JP2002163747A JP4311914B2 (ja) 2002-06-05 2002-06-05 半導体製造装置用ヒータモジュール

Publications (2)

Publication Number Publication Date
CN1547760A true CN1547760A (zh) 2004-11-17
CN100353493C CN100353493C (zh) 2007-12-05

Family

ID=29727551

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038009196A Expired - Fee Related CN100353493C (zh) 2002-06-05 2003-05-19 用于半导体制造系统的加热器模块

Country Status (7)

Country Link
US (3) US6963052B2 (zh)
EP (1) EP1511069A1 (zh)
JP (2) JP4311914B2 (zh)
KR (1) KR100584055B1 (zh)
CN (1) CN100353493C (zh)
TW (1) TWI281711B (zh)
WO (1) WO2003105199A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760680A (zh) * 2011-04-26 2012-10-31 塔工程有限公司 用于半导体基片处理设备的卡盘组件
CN105483651A (zh) * 2014-10-07 2016-04-13 Asmip控股有限公司 多温度范围基座、组件、包括该基座的反应器和系统、以及使用它们的方法
CN113302036A (zh) * 2018-12-19 2021-08-24 捷普有限公司 用于混合增材制造喷嘴的设备、系统和方法

Families Citing this family (308)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4311914B2 (ja) * 2002-06-05 2009-08-12 住友電気工業株式会社 半導体製造装置用ヒータモジュール
JP2005150506A (ja) 2003-11-18 2005-06-09 Sumitomo Electric Ind Ltd 半導体製造装置
JP2007201484A (ja) * 2004-11-30 2007-08-09 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP3945527B2 (ja) 2004-11-30 2007-07-18 住友電気工業株式会社 ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP3972944B2 (ja) 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4739132B2 (ja) * 2006-07-04 2011-08-03 Okiセミコンダクタ株式会社 熱処理装置及び熱処理方法
JP5056228B2 (ja) * 2007-07-13 2012-10-24 住友電気工業株式会社 ヒータユニット及びそれを備えた半導体装置の製造・検査装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5447123B2 (ja) * 2009-05-28 2014-03-19 住友電気工業株式会社 ヒータユニット及びそれを備えた装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
JP5293718B2 (ja) * 2010-10-01 2013-09-18 東京エレクトロン株式会社 熱処理装置、熱処理方法及び記憶媒体
JP5605265B2 (ja) * 2011-02-24 2014-10-15 住友電気工業株式会社 半導体製造装置用ヒータユニット
JP5658083B2 (ja) * 2011-05-11 2015-01-21 株式会社Screenセミコンダクターソリューションズ 温度変更システム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102637593B (zh) * 2012-03-19 2017-09-19 晶能光电(江西)有限公司 一种对外延片进行快速退火合金的方法及设备
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5630526B2 (ja) * 2013-04-08 2014-11-26 東京エレクトロン株式会社 熱処理装置
JP6088909B2 (ja) * 2013-06-04 2017-03-01 株式会社Screenセミコンダクターソリューションズ 熱処理装置
CN104465453B (zh) * 2013-09-20 2018-10-30 住友电气工业株式会社 等离子体cvd装置用的晶片加热器
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6285586B2 (ja) * 2017-02-06 2018-02-28 株式会社Screenセミコンダクターソリューションズ 加熱プレート冷却方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0790400B2 (ja) * 1989-10-18 1995-10-04 アイダエンジニアリング株式会社 プレスのダイクッション装置
JP2888026B2 (ja) * 1992-04-30 1999-05-10 松下電器産業株式会社 プラズマcvd装置
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JPH0737708A (ja) 1993-07-22 1995-02-07 Matsushita Electric Ind Co Ltd チップ部品の製造方法
JPH08130237A (ja) 1994-11-01 1996-05-21 Fuji Electric Co Ltd プラズマ処理装置
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP2000164601A (ja) 1998-11-24 2000-06-16 Dainippon Screen Mfg Co Ltd 基板加熱処理装置
JP4311914B2 (ja) * 2002-06-05 2009-08-12 住友電気工業株式会社 半導体製造装置用ヒータモジュール

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760680A (zh) * 2011-04-26 2012-10-31 塔工程有限公司 用于半导体基片处理设备的卡盘组件
CN102760680B (zh) * 2011-04-26 2015-05-27 塔工程有限公司 用于半导体基片处理设备的卡盘组件
CN105483651A (zh) * 2014-10-07 2016-04-13 Asmip控股有限公司 多温度范围基座、组件、包括该基座的反应器和系统、以及使用它们的方法
CN105483651B (zh) * 2014-10-07 2020-02-07 Asm Ip控股有限公司 多温度范围基座、组件、包括该基座的反应器和系统、以及使用它们的方法
CN113302036A (zh) * 2018-12-19 2021-08-24 捷普有限公司 用于混合增材制造喷嘴的设备、系统和方法

Also Published As

Publication number Publication date
TWI281711B (en) 2007-05-21
JP2007184550A (ja) 2007-07-19
TW200405445A (en) 2004-04-01
US20070068921A1 (en) 2007-03-29
EP1511069A1 (en) 2005-03-02
US7145106B2 (en) 2006-12-05
KR20040032153A (ko) 2004-04-14
JP4479712B2 (ja) 2010-06-09
US20040238523A1 (en) 2004-12-02
JP2004014655A (ja) 2004-01-15
KR100584055B1 (ko) 2006-05-30
US20050242079A1 (en) 2005-11-03
CN100353493C (zh) 2007-12-05
US6963052B2 (en) 2005-11-08
JP4311914B2 (ja) 2009-08-12
WO2003105199A1 (ja) 2003-12-18

Similar Documents

Publication Publication Date Title
CN1547760A (zh) 用于半导体制造系统的加热器模块
CN100350598C (zh) 具有一对散热器的半导体器件及其制造方法
US20170092525A1 (en) High temperature electrostatic chuck bonding adhesive
CN1292469C (zh) 半导体器件及其制造方法
CN1977378A (zh) 铝-碳化硅质复合体
CN1674238A (zh) 半导体器件及其制造方法
CN1945807A (zh) 控制衬底温度的装置
CN1653591A (zh) 在包含基座的处理室中加热半导体基板的工艺和系统
CN1929119A (zh) 均热片组件及其制造方法
CN100342527C (zh) 电源模块用基板
CN1849697A (zh) 具有动态温度控制的基片支架
CN101038888A (zh) 载置装置
CN1135618C (zh) 半导体装置及其制造方法
CN1599953A (zh) 静电吸盘组件和冷却系统
KR101284475B1 (ko) 반도체장치의 제조방법
CN1682341A (zh) 用于等离子体处理系统中的改进的折流板的方法和设备
CN1815719A (zh) 半导体器件及其制造方法和装置
CN1295611A (zh) 用于固定化和扩增dna的基体,在基体上固定了dna的dna-固定化芯片,以及扩增dna的方法
CN1313420C (zh) 降低主要由氮化铝组成的本体的体积电阻系数的方法
CN1235284C (zh) 用于半导体和功率模件的绝缘衬底板
CN1225342C (zh) 一种陶瓷基座
CN1747145A (zh) 薄基片支持器
US7040963B1 (en) Table of wafer polishing apparatus, method for polishing semiconductor wafer, and method for manufacturing semiconductor wafer
CN1795546A (zh) 氟化碳膜的形成方法
JP2010208898A (ja) 窒化珪素基板及びその製造方法並びにそれを使用した回路基板

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071205

Termination date: 20190519

CF01 Termination of patent right due to non-payment of annual fee