CN103597114B - 沉积涂层的装置与在基板上沉积共形膜的方法 - Google Patents

沉积涂层的装置与在基板上沉积共形膜的方法 Download PDF

Info

Publication number
CN103597114B
CN103597114B CN201280028774.4A CN201280028774A CN103597114B CN 103597114 B CN103597114 B CN 103597114B CN 201280028774 A CN201280028774 A CN 201280028774A CN 103597114 B CN103597114 B CN 103597114B
Authority
CN
China
Prior art keywords
substrate
reactant
ion
treatment chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280028774.4A
Other languages
English (en)
Other versions
CN103597114A (zh
Inventor
乔治·D·帕帕守尔艾迪斯
卢多维克·葛特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN103597114A publication Critical patent/CN103597114A/zh
Application granted granted Critical
Publication of CN103597114B publication Critical patent/CN103597114B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种沉积涂层的装置与在基板上沉积共形膜的方法。用于沉积涂层的装置可包括:第一处理腔室,架构成用以在第一时期期间于基板上沉积第一反应物来作为反应物层。第二处理腔室可架构成在第二时间下引导离子入射至基板上,且可架构成在第二时期期间于基板上沉积第二反应物,其中第二反应物经组态以与反应物层反应。

Description

沉积涂层的装置与在基板上沉积共形膜的方法
技术领域
本发明涉及基板的涂层,尤其涉及一种制造共形膜的方法及装置。
背景技术
原子层沉积(Atomiclayerdeposition,ALD)是一种与化学气相沉积(chemicalvapordeposition,CVD)有关的沉积方法。在ALD中,通常接续地执行使用个别前驱物的两个个别反应(半循环)来完成沉积定量的材料的单一全沉积循环(singlefulldepositioncycle)。在各个半循环之后,由第一前驱物所供给的定量的反应物种余留在基板表面上。理想地,在第一半循环之后,可制造第一物种的单一单层。第一物种的单层的各个物种可与下个半循环中所供给的第二前驱物的物种发生反应。在各个半循环中,继供给反应物种之后,可进行吹净(purge)以移除沉积材料的任何未反应物种。因此,在循环中已反应的材料的总量与各个反应物的单层的量相等。以此方式,各个循环可制造出与任何其他循环等量的材料。因此,在宽的工艺裕度(processwindow)内,沉积物的总厚度仅取决于所进行的循环数目,其中在任何给定的循环中可控地制造薄至十分的一埃的层。
ALD的自限制本质(self-limitingnature)以及用以制造极薄层的能力已经引起广泛努力来发展微电子及相关应用的ALD,其中所述微电子及相关应用可能需要非常薄的层。ALD已被使用来沉积数种类型的薄膜,其包括各种氧化物(例如Al2O3、TiO2、SnO2、ZnO、HfO2)、金属氮化物(例如TiN、TaN、WN、NbN)、金属(例如Ru、Ir、Pt)以及金属硫化物(例如ZnS)。
此外,因为ALD为以表面反应为主的工艺(surfacereaction-dominatedprocess),亦具有潜力在具有大规模表面构形(topography)的基板中制造共形涂层,故就反应范围(extent)而言,沉积物种可与非平面基板表面的所有区域反应。
然而,ALD广泛采用的方法存在数个挑战。由于许多潜在应用需要低的基板温度,且因为在各个循环期间需要实施吹净(purge)步骤,故在所需的沉积条件下,ALD成长速率可能会极慢。由于在低基板温度下,不想要的前驱物原子会残留引入(residualincorporation),且吸附的原子的移动性会受到限制(limitedmobility),因此低温的要求亦可能导致膜层污染或造成膜密度不佳。
另外,在低的基板温度下完成ALD膜的共形膜的沉积仍然是个挑战,部分的原因是因为低温可能不足以使两个反应物完全反应。在其他需要沉积元素膜层的情况下,低温操作可能造成单一前驱反应物的表面分解作用缓慢。为了在低温下加速膜层的沉积,已发展了等离子体辅助ALD技术。数个使离子暴露于基板不同程度的等离子体辅助ALD技术的变化例(variations)已被发展。在直接式等离子体ALD中,基板可置放可直接与等离子体(例如二极管型等离子体)接触之处。在此架构中,高密度的离子可以入射的法线角度(normalangle)撞击至基板上。在另一变化例(远程等离子体ALD)中,等离子体可远程产生且离子可撞击到置放在离主要等离子体一段距离处的基板上。与在直接式等离子体ALD中的离子密度相比,离子、高能中性粒子(energeticneutrals)以及自由基通常可以较小的离子密度撞击基板。远程等离子体ALD的极端的变化形式(有时称为自由基增强型ALD)包括从基板的远程产生等离子体,其中若有任何离子,也仅有极少离子接触到基板,但是等离子体所产生的气相自由基则会撞击到基板上。
在任一上述的等离子体辅助技术中,等离子体可供给足够的能量来活化设置在基板表面上的第一前驱物(反应物)的物种,使得被活化的物种与第二反应物的沉积物种反应。然而,横越具有表面凸纹特征(relieffeatures)的基板表面所进行的第一反应物与第二反应物的反应可能是非均匀的。由于来自已知等离子体的离子是以高度定向性(directionality)撞击到基板上方,故离子可能会无法达到基板的特定区域(例如沟渠边角或凸纹特征的侧壁),因而限制此类区域的反应性。
图1a到图1d显示使用已知等离子体辅助ALD工艺于基板100上的膜层的形成。在图1a所显示的第一步骤中,在基板100的凸纹特征上提供第一反应物12的物种。当物种凝聚(condense)时,物种具有足够的移动性来覆盖基板100的整个表面。通常提供足量的第一反应物以使表面可达饱和,并形成包含第一反应物的连续层112,如图1b所显示。在引入第二反应物之前,可吹净任何过量的第一反应物。如图1c所显示,在等离子体辅助ALD中,在将第二反应物引入至膜基板上的期间,等离子体可提供例如是离子18的物种。离子通常以垂直于基板平面的平行的方式撞击至基板100上,所述基板平面于图中呈水平。水平表面可截获(intercept)大部分的离子流(ionflux)或所有的离子流,因而促进水平表面上的第一反应物与第二反应物的反应。然而,凸纹特征的侧壁16无法截获离子流。因此,离子18可能无法促进第二反应物(可能部分地或完全地包括在离子流中而并未个别显示出)与基板侧壁16上的第一反应物12的反应。接着,如图3d所显示,可吹净系统中的任何过量的第二反应物及任何未反应的第一反应物,而留下反应涂层14,其为第一反应物与第二反应物的反应的产物。
因为第一反应物与第二反应物的反应可能较少发生在侧壁16上,因而其所生成的反应涂层14可能是非均匀的(非共形),且相对于其他方向,反应涂层14可在特定方向(在此情况下,为水平方向)的表面上显示出更大的涂层厚度。因此,在具有表面凸纹特征的基板中(例如,具有高宽比的沟渠或具有陡峭斜率的侧壁的结构),已知的等离子体辅助ALD工艺可能会提供非共形的涂层。
鉴于上述内容,显然是需要一种ALD工艺的改善方法。
发明内容
在一实施例中,沉积涂层的装置包括第一处理腔室,用以在第一时期期间于基板上沉积作为反应物层的第一反应物,以及第二处理腔室,用以引导离子以一角度范围入射至基板上,且用以在第二时期期间于基板上沉积第二反应物,所述第二反应物经组态以与所述反应物层反应。
在另一实施例中,一种于基板上沉积共形膜的方法包括在第一时间,于基板上沉积作为反应物层的第一反应物,使第二反应物与反应物层反应,以及使反应物层暴露于离子,所述离子相对于基板平面以一角度范围入射至基板上。
附图说明
将参照附图以为了更好理解本揭示,所述附图以引用的方式并入本说明书中,且其中:
图1a到图1d显示已知一种ALD工艺。
图2a及图2b显示与本揭示的一实施例一致的ALD装置。
图3显示一例示性提取平板的剖面图。
图4a至图4d显示与本揭示的一实施例一致的ALD工艺期间的基板特征的剖面图。
图5显示与本揭示的另一实施例一致的ALD装置。
图6显示与另一实施例一致的例示性步骤。
具体实施方式
本说明书中所揭示的实施例提供了改良式膜沉积装置及工艺,且特别是改良式ALD工艺。在各种实施例中,ALD装置包括用以对基板提供第一反应物的处理腔室(processingchamber),以及用以对基板提供第二反应物的处理腔室。在一些实施例中,用于第一反应物及用于第二反应物的处理腔室是不同的腔室。根据各种实施例,可在ALD工艺顺序中提供第一反应物及第二反应物,其中进行一或多次ALD沉积循环以在基板上各别形成待成长的一或多个膜。各个沉积循环可包括将基板暴露于第一反应物使基板表面饱和的第一暴露,接着吹净过量的第一反应物,以及将上面设置有饱和第一反应物的基板暴露于第二反应物的第二暴露。
在各种实施例中,上述第二反应物可包括离子,其以一角度范围撞击至基板上。离子可供给足够的能量来使第一反应物与第二反应物的反应容易进行,以形成所要的产物层。在各种实施例中,所要的产物层可为包括有元素材料、氧化物、氮化物或其他材料的层。因为第二反应物可被提供作为离子或可与以一角度范围入射至基板上的离子一起被提供,故本实施例使得共形涂层容易形成在具有沟渠及其他陡峭斜率轮廓(topology)的基板上,如下文所详述。
图2a及图2b描绘与本揭示的一实施例一致的ALD装置10。ALD装置各别地包括第一处理腔室20及第二处理腔室30,其可在ALD沉积工艺中用于提供各别的第一前驱物(反应物)及第二前驱物(反应物)。ALD装置10包括基板载具102,用以承载单一基板或多个基板100。
基板100可设置成数组(array)或矩阵(matrix),其宽度为N个基板100且长度为N个基板100(其中宽维度中的“N”变量可不同于长维度中的“N”变数)。在图2a及图2b中,显示出1×3基板的矩阵。基板载具102(布置在垂直方向上)可使用静电夹具(electrostaticclamping)、机械夹具(mechanicalclamping)或静电夹具与机械夹具的组合来固定(retain)基板100。基板100可使用基板载具102来扫描。在所显示的实施例中,基板载具102可在方向106上进行扫描,使得基板100可定位在邻近于第一处理腔室20(图2a)或是邻近于第二处理腔室30(图2b)的位置,以使基板100各别暴露于第一前驱物及第二前驱物。在各种实施例中,基板载具可使用线性平移(lineartranslation)或沿着圆弧进行的旋转运动(rotationalmovement)在邻近于腔室20的位置及邻近于腔室30的位置之间移动。
腔室20可以设置成使用前驱物源42来提供固定剂量的第一前驱物给基板100(反应物),所述第一前驱物充满腔室20。在一些实施例中,腔室20亦可提供等离子体40,如下文接续所讨论。如所显示,在基板暴露于前驱物源42期间,隔离器110被提供来隔离腔室20与腔室30。在一些实施例中,气幕(gascurtain)可作为隔离器,而在其他实施例中,可使用真空或固体屏障(solidbarrier)。
当基板载具102定位于邻近腔室20的位置时,为了提供固定剂量的第一反应物给基板100,可使腔室20与任何可以将腔室抽真空的泵(未显示)隔离。
在各种实施例中,在离子108的辅助下,将第二处理腔室30设置成可以提供第二反应物给基板100。离子108可构成至少一部分的第二反应物,第二反应物将与在提供离子108时已位在基板100上的第一反应物进行反应。在一些实施例中,至少部分的离子108为惰性物种,其不会凝结于待形成于基板100上的膜内。在一些实施例中,在腔室20中暴露于第一反应物(图2a)之后,使基板载具102移动至邻近腔室30的位置(图2b),而后使用等离子体源50来制造等离子体52,其中离子108自所述等离子体52而提取。如下文所详述,在各种实施例中,经由提取平板(例如提取平板104)来提取离子,以在暴露于第二反应物期间对基板100提供一入射角度范围的离子。藉由提供相对于基板表面一角度范围的离子,可使基板特征的表面上的第二反应物与第一反应物的反应性提高,所述基板特征可为凹陷,或相对于基板的平面120可形成一角度。以此方式,在所有基板表面区域上方(包括在具有深凹槽或其他非平面特征的基板结特征上)的第一反应物与第二反应物的反应可能会更均匀。此可导致形成更佳的共形产物层,意即,不论基板表面的方向,在所有基板表面上形成厚度更均匀的层。
在处理腔室20或处理腔室30中,或是在处理腔室20及处理腔室30中,可保持小的围圈(enclosure)体积(所述围圈中有基板),以降低在各个暴露期间使基板表面饱和所需的反应物的量,并减少在工艺之间将反应器腔室抽真空所需要的时间。在一些实施例中,腔室壁面包括不会吸附反应物的表面,以减少在腔室壁面上膜的增长。尤其,可减少有机材料,以避免其与典型前驱物(可利用来沉积例如是氮化物的膜)反应。
与一些实施例一致,对给定的腔室以连续流动模式(continuousflowmode)供给反应物,或是藉由使围圈增压(pressurizing)以及降压(discharging)来供给反应物。在上述两种情况下,在暴露于反应物的循环期间,计量的反应物可被运送到系统。
在各种实施例中,基板载具102装备有加热器(未显示)或藉由外部加热源(例如辐射灯(radiationlamps))使基板载具102加热。加热器可用以改善ALD膜的膜质量并改善共形性。
与本揭示的一实施例一致,等离子体源50可为电容耦合源(capacitivelycoupledsource)、感应耦合源(inductivelycoupledsource)、微波源、螺旋源(heliconsource)、感应加热阴极源(inductivelyheatedcathodesource)或本领域具有通常知识者已知的其他等离子体源。另外,在工艺期间,源可设置在基板的直视方向上,或坐落在相对于基板100的更远程的位置。
为了对基板100提供一角度范围的离子,提取平板104可位在邻近于形成等离子体52的区域的位置。图3为与一实施例一致的等离子体系统内的提取平板104的细部构造的剖面图。为了方便说明,将提取平板104绘成水平配置(configuration),但提取平板104可布置成垂直配置,如图2所示。提取平板104设置于邻近等离子体52,以使提取平板置于等离子体鞘242内。提取平板104可操作以修改等离子体鞘242内的电场,控制等离子体52与等离子体鞘242之间的边界形状,且提取平板104可制造成如所示的弯曲边界。因此,因为等离子体鞘边界241曲率的结果,以及因为离子108可通常以垂直于鞘边界的方向离开等离子体52,故离子可以一角度范围进入等离子体鞘242,并接着可以大入射角度范围撞击基板100,如所显示者。
等离子体52可依照上文针对图1所述的方式而产生。提取平板104可为单一式平板,其在区域104a及104b之间具有狭孔(slot),或提取平板104可为一组板104a及104b,在其彼此之间界定出具有水平间距(G)的开口(aperture)。板104a及104b可以是绝缘体、半导体或导体。在各种实施例中,提取平板104可包括多个开口(未显示)。提取平板104可位在由基板100之前表面所界定的平面120上方的垂直间距(Z)处。在一些实施例中,可使用直流(directcurrent,DC)或射频(radio-frequency,RF)功率来提供提取平板104电源,或使提取平板104浮置(floating)。
藉由不同机制,离子108可被吸引而自等离子体52横越等离子体鞘242。在一例子中,对基板100施加偏压(biased)以吸引离子108自等离子体52横越等离子体鞘242。有利的是,提取平板(以下,术语“提取平板”可使用来意指界定至少一开口的单一式平板或多个平板)104修改等离子体鞘242内的电场来控制等离子体52与等离子体鞘242之间的边界241形状。在一例子中,在等离子体52与等离子体鞘242之间的边界241可具有相对于平面151呈凸形的形状(convexshape)。当对基板100施加偏压时,例如,离子108受吸引而横越等离子体鞘242,并以大角度范围穿过开口54。举例而言,遵循轨迹路径(trajectorypath)271的离子可以相对于平面151呈+θ°的角度撞击基板100。遵循轨迹路径270的离子可以相对于相同平面151呈约0°的角度撞击基板100。遵循轨迹路径269的离子可以相对于平面151呈-θ°的角度撞击基板100。因此,入射角的范围可为介于约以0°为中心的+θ°与-θ°之间。另外,一些离子轨迹路径(例如路径269及271)可彼此交叉。根据一些因素(这些因素包括界定开口54的一维度的水平间距(G)、在平面151上方提取平板的垂直间距(Z)、提取平板的介电常数或等离子体52的其他工艺参数,但不限于此),入射角(θ)的范围可为介于约以0°为中心的+60°与-60°之间。因此,在一些条件下,离子108可以介于+60°与-60°之间的角度范围撞击基板100;而在其他条件下,离子108可以窄角度范围(例如介于+30°与-30°之间)撞击基板100。
在ALD系统(例如系统10)的各种实施例中,当在ALD工艺中提供反应物至基板表面时,提取平板104可经组态以调整(tailor)基板100上的离子的入射角度分布。如上文所提及,在一些情况下,离子108可包括不同物种,例如惰性气体离子及可利用来形成氮化物材料的含氮离子。因为离子108以一角度范围撞击至基板100上,故离子可以有效地撞击基板中在使用已知等离子体辅助ALD时难以达到的凸纹特征区域。因此,离子更有效地促进凸纹特征的所有表面区域上的第一反应物与第二反应物的反应。
图4a到图4d显示与本揭示的一实施例一致的共形离子辅助ALD膜形成工艺。为了说明的目的,可描述有关于例示性材料系统(氮化硅)的离子辅助ALD工艺。然而,本说明书中所描绘且揭示的工艺可应用于多种材料,其包括元素膜、金属化合物及绝缘化合物(氧化物、氮化物、氧氮化物等)以及合金等其他材料。在图4a所显示的工艺中,在基板100的凸纹特征上提供第一反应物402的物种。在一些实施例中,第一反应物可为含硅物种,例如SiH4、Si2H6、SiH2Cl、SiCl4或本领域具有通常知识者已知的其他适当的反应物。可提供计量的反应物,以使存在在反应腔室中的第一反应物402的量足以在所要的基板表面覆盖单层的第一反应物402,或第一反应物402的量超过在所要的基板表面覆盖单层的第一反应物402。在此工艺期间,可加热基板例如约至超过30℃的温度。所沉积的物种(例如硅烷物种)可具有足够的移动性,以覆盖住凸纹特征的整个表面,所述凸纹特征包括顶面404、侧壁406及沟渠408。在基板100充分暴露于足够的第一反应物402的物种后,可吹净包含基板的腔室中的过量反应物。在一些实施例中,在第一反应物402暴露于基板100的期间,亦在围绕基板100的反应环境中提供例如惰性气体(未显示)的载气(carriergas)。载气或另一气体可用来作为吹净气体,以促进移除过量的第一反应物402。
当第一反应物402覆盖住基板100表面时,在吹净过量第一反应物402之后,反应物层412的共形单层余留在基板100上,如图4b中所显示。在此阶段,反应物层412包含待引入至所要膜中的材料的一成分,例如硅。另外,反应物层412可包括不想要的材料(例如氢),其可仍与硅原子键结。
在图4c所描绘的后续工艺中,包括反应物层412的基板100暴露于以一入射角度范围入射至基板上的离子108中。在基板100暴露于第二反应物(未个别描绘)的同时,可提供离子108。在一些实施例中,当引入第二反应物时,将基板温度升高至高于室温。在各种实施例中,提供至少部分第二反应物作为离子108。举例而言,离子108可来自于被供给至等离子体中的气体N2物种及/或气体NH3物种。接着,离子化的含氮物种可经由开口而提取,且离子化含氮物种可与由包括含硅物种的第一反应物402所形成的单层反应,从而形成SiNx化合物。然而,并非所有的第二反应物皆需离子化,也并非所有的离子皆需形成部分的第二反应物。例如,在一些实施例中,离子108包括惰性气体离子,其可以促进第一反应物与第二反应物的反应,但并非设计以并入反应所得的ALD层中。此类的物种包括He、Ar、Xe及Ne。
因为提供了在一入射角度范围内的离子108,故离子可达到已知等离子体辅助ALD中的离子通常达不到的基板100区域。因此,离子除了撞击顶面404及沟渠408之外,离子亦撞击侧壁406。这样一来,离子108可促进所有凸纹特征表面上的第二反应物(未个别显示)与反应物层412的反应。
如图4d所描绘,在离子108撞击反应物层412之后,第一反应物与第二反应物之间的合成反应在基板凸纹特征上形成反应产物层410。由于离子辅助反应(ion-aidedreaction)可发生在基板表面的大部分的区域上或所有的区域上,故与已知等离子体辅助ALD所形成的层相比,反应产物层410形成更均匀的层。
在一些氮化硅沉积的实施例中,提供过量的氮物种来与硅烷基单层(例如反应物层412)反应,以形成SiNx单层(例如反应产物层410)。以离子108撞击顶面404、侧壁406及沟渠408,可促使氢由硅烷单层中释出,可且促使含氮物种(可为离子、中性粒子及/或自由基)的反应的进行,以形成产物氮化硅层。在进行第二反应物与反应物层412的反应之后,可使用例如是惰性气体来吹净过量反应物及不想要的物种。
与一些实施例一致,显示在图4a至图4d中的不同过程代表一个ALD工艺循环,其中形成例如SiNx的单一单层。可重复此循环来制造所要厚度的共形涂层,其由多层反应产物层410所组成。因为每进行一循环仅可形成一共形涂层的单层,因此,可使用本实施例方便地制造任何所要厚度的涂层,其约大于或等于一材料单层。
在一些实施例中,膜组合物从一ALD循环变化到另一循环。因此,藉由改变第一反应物与第二反应物的相对量、离子暴露、循环期间基板温度以及后段膜形成工艺(postfilm-formationprocessing)或其他因素中的一或多个因素可以制造膜组合物中及性质中的梯度变化。
在图4a到图4d中所显示的工艺的一些实施例中,虽然采用升高基板温度,但实际上此基板温度可能小于在无利用等离子体或离子辅助的ALD工艺中所通常采用的基板温度。举例而言,在一些实施例中,采用小于或等于400℃的基板温度。因为提供了在一角度范围内的离子108,故本实施例亦可在降低的温度下促进在凸纹特征上形成共形涂层。
在各种实施例中,采用控制基板温度来改变反应物的反应性、移除不想要的吸附材料的速率以及变更反应产物层410的其他膜性质。
请再次参照图2,可调整ALD系统10的其他操作参数,以促进例如反应物的反应及从产物层中移除不想要的材料(例如氢)的ALD工艺。这些操作参数包括在引入第二反应物期间所使用的等离子体气体组合物及等离子体功率、基板与等离子体之间的偏压、有关于提取平板的扫描基板的扫描条件(scanningrecipe)以及上述所提及的基板温度。
图5描绘ALD系统500的另一实施例,其中用于引入第二反应物的等离子体腔室30系藉由感应源来提供电源,所述感应源驱动线圈504以产生等离子体506。在各种实施例中,气体物种可由源508来供给,所述源508可提供惰性气体及/或反应气体。尽管未描绘出,应理解惰性气体物种及反应气体物种可由个别的源来提供。RF-产生器510被提供来藉由使用匹配网络512驱动线圈504以点燃等离子体506,其可包括惰性物种及非惰性物种的组合。在腔室30中除产生离子之外,亦可产生中性介稳态物种(neutralmetastablespecies)并使其撞击基板100。
为了调整离子108的离子能量,本揭示的实施例提供各种方法来控制基板100与等离子体506之间的偏压。在一些实施例中,设定等离子体在接地电位且可施加负偏压至基板载具102以吸引正电离子。在其他实施例中,使基板载具102接地,而等离子体506可维持在正电位。
藉由变化基板与等离子体之间的电位,根据所要的ALD膜性质可以调整离子能量。举例而言,同时参照图4c,在较高离子能量下,离子108撞击基板100可更有效地从反应物层412中移除例如是氢的材料。较高离子能量亦可使用来密实化(densify)反应所得的膜,其是由反应物层412与第二反应物反应所形成。在形成氮化硅的实例中,含氮中性粒子或含氮离子(例如,来自于N2或NH3)可与惰性气体离子一起提供到含硅反应物层412之上。惰性气体离子可作用以降低膜孔隙率(filmporosity)并且移除反应物层412中的氢。中性粒子(例如介稳态自由基)以及离子亦可活化反应物层412与凝结含氮物种的反应。然而,过量的离子能量可导致SiNx层的凝结物种进行不想要的再溅镀(unwantedre-sputtering),从而降低膜形成速率。过量的离子能量亦可导致增加膜应力。已知变化在成长期间撞击至膜上的离子的离子能量通常会引起膜应力的改变,例如拉伸应力或压缩应力程度上的改变。因此,就腔室30中给定的反应物层412及离子物种而言,最佳的离子能量可存在以促使形成所要的SiNx膜,而使不利的副效应保持在可接受程度。
在一些实施例中,在ALD工艺的引入第二反应物期间,等离子体506的功率及/或基板100与等离子体506之间的偏压以脉冲的方式来提供,而不是提供连续流量(continuousflux)的离子108。在一实例中,如果等离子体506与基板100之间的电压偏以规律脉冲的方式来提供,则离子108仅在施加偏压时受吸引而穿过开口54。然而,在没有施加偏压的部分脉冲循环期间,例如中性气体物种及介稳态物种(包括自由基)的其他物种可能会继续撞击至基板100上。因此,藉由改变离子撞击相较于中性物种撞击的相对流量,调整所施加的基板-等离子体偏压的工作周期,可影响膜的性质。
与其他实施例一致,控制基板100的定位以控制ALD膜沉积工艺的共形性。由图2、图3及图5可显现的是,与待涂布的基板的横向尺寸相比,开口54的开口宽度G可能是小的。在此类情况下,为了使给定的基板的所有所要的部分暴露于离子108中,在点燃等离子体52的同时,沿方向106扫描基板载具102。由图2a及图2b以及图3明显可知,在相对于离子108束对基板的任何一部分扫描期间,入射于基板所述部分上的离子的角度可随时间而变化。因此,当基板100通过邻近开口54的位置时,在初始时期,撞击基板点A的离子108可从第一方向出现,然而在下个瞬间,离子可由不同方向撞击点A。因此,图4c中所显示的基板凸纹特征暴露于离子108,可表示在基板100通过开口54附近时的期间,所有离子暴露的总数。如上文所提及,离子108的入射角度的确切分布可随提取平板104与基板100之间的间隔(separation)或其他因素而变化。以此方式,藉由变化基板-提取平板的间隔,可以提供较大量或较少量的离子108至侧壁406上,从而提供控制离子辅助ALD沉积工艺的共形性的一种方法。此外,如上文所讨论,各种其他参数可能会影响离子108的入射角度,以提供进一步调整共形性。
举例而言,可根据等离子体源的类型来变化邻近于提取平板的等离子体密度。因为等离子体鞘维度(厚度)与等离子体密度相关,故边界241的整体形状及位置可随等离子体类型而变化。因此,在一些实施例中,为了控制等离子体鞘边界的形状及位置且从而控制入射至图案化基板的离子的分布,可以不同等离子体密度来对其他参数(例如开口宽度G)进行调整。
根据具体应用及所要的结果可做出对于参数的适当组合的选择。控制离子108的角度分布的能力可能特别有利于调整不同基板的离子辅助ALD工艺。举例而言,可变化离子108的角度分布来说明表面凸纹特征(例如沟渠、鳍式场效晶体管装置中的鳍片及其他特征)的宽高比的改变。因此,与较低宽高比特征相比,较高宽高比的凸纹特征可能需要较宽的离子角度分布。
再次参照图2a及图2b,在一些实施例中,利用包括腔室20的系统10以在沉积第一反应物之前预清洗基板100。在特定实施例中,为了在ALD沉积开始之前清洗基板100表面,腔室20(或另一腔室(未显示))可使用来作为等离子体清洗腔室且可装备有用以产生等离子体(例如图2a中所描绘的等离子体40)的等离子体源(未显示)。以此方式,在ALD膜沉积之前,可原位(in-situ)预清洗各个基板。对于需要氧化的基板表面,可提供氧等离子体;而对于需要还原的基板表面,可提供氢等离子体。在另外的实施例中,除了藉由暴露基板于等离子体之外,还藉由加热基板来进行基板的预清洗,或是藉由加热基板来进行基板的预清洗,而不是藉由暴露基板于等离子体。
在一些实施例中,使用单一腔室(例如腔室30)来引入第一反应物及第二反应物,而不是在两个个别腔室中进行离子辅助ALD工艺。在第一阶段中,可在没有使用离子时,提供第一反应物;而在第二阶段中,如上文所描述,将离子提供至基板。
另外,在一些实施例中,在膜形成后,进行ALD膜的工艺。因此,在反应形成反应产物层410之后,基板100可进行额外的工艺,上述的暴露于离子流以及退火。可使用后段膜形成工艺来改善膜性质。例如,可进行退火或离子撞击或是上述两者来改善膜密度,并移除不想要的物种,例如氢。后段沉积工艺可在基板100位于腔室30中时原位进行,或可在另一腔室或装置(未显示)中进行。
虽然特别根据氮化硅系统来揭示上述实施例,但本实施例涵盖针对其他材料的离子辅助ALD的系统及方法,所述其他材料包括SiC、SiCN、TiN、TaN、Ru,而以上所有的材料可被沉积来用作蚀刻终止层或扩散阻挡层或其他的应用。适用于本实施例的其他材料包括金属,例如可使用于三维金属栅极应用(例如在鳍式场效晶体管中)的元素金属;氧化间隔壁,例如SiO2;以及其他材料系统。
图6显示根据另一实施例,涉及方法600的例示性工艺。在区块602,清洗基板。与一些实施例一致,清洗可原位发生在ALD系统中。在一些实施例中,清洗可包括暴露于离子及/或加热。
在区块604,基板暴露于第一反应物。第一反应物可为用于ALD工艺的已知材料,例如在形成氮化硅的情况下的硅烷。在一些实施例中,以计量形式提供反应物来促使供应过量的反应物至基板,从而确保在基板上形成材料的单层。
在区块606,吹净围绕基板的环境,以清除过量的第一反应物。在区块608,基板暴露于第二反应物。暴露于第二反应物可发生在第二腔室中,其与使用来将第一反应物引入至基板的腔室不同。在区块610,基板暴露于一角度范围的离子流。暴露于第二反应物及暴露于角离子流可发生在相同时间下,或发生时间可部分重迭。因此,亦同时参照图2b,在腔室30中形成等离子体之前或在施加偏压以朝向基板提取离子10之前,可朝向基板100提供含氮反应物。当点燃等离子体时,反应物可持续被提供,且反应物亦可形成至少部分的离子。在暴露于第二反应物及暴露于一角度范围的离子流之后,可形成共形产物膜。
在区块612,吹净第二反应物。在区块614,如果还未达到所要的膜厚度,则方法重回到步骤604。如果已达到所要的膜厚度,则工艺移动至区块616,其中进行后段膜沉积工艺。此工艺可包括暴露于离子及/或对基板进行退火。
总而言之,在各种实施例中,提出一种提供以一角度范围分布的离子的新颖ALD系统,其中可调整操作参数以获得所要的膜共形性、膜密度、应力及膜组合物。
本揭示不以本说明书中所描述的具体实施例的范畴为限。事实上,除本说明书中所描述的实施例之外,藉由以上描述及附加图式,本揭示的其他各种实施例及修改对本领域具有通常知识者是显而易见的。
因此,此类其他实施例及修改意欲落入于本揭示的范畴内。另外,虽然本说明书中已在特定目的的特定环境中以特定实施方案描述本揭示,本领域的技术人员将了解,本揭示的效用不局限于此,且本发明可有利地出于许多目的而在许多环境中实施。因此,应如本说明书中所描述本揭示的整个广度及精神来解释下文陈述的申请专利范围。

Claims (20)

1.一种沉积涂层的装置,包括:
第一处理腔室,用以在第一时期期间于基板上沉积作为反应物层的第一反应物;以及
第二处理腔室,用以引导离子以一角度范围入射至所述基板上,且用以在第二时期期间于所述基板上沉积第二反应物,所述第二反应物经组态以与所述反应物层反应,其中所述离子入射至所述基板上与于所述基板上沉积所述第二反应物在相同时间下发生,或者所述离子入射至所述基板上与于所述基板上沉积所述第二反应物的发生时间部分重迭,而在发生时间部分重迭时,于所述基板上沉积所述第二反应物先发生。
2.根据权利要求1所述的沉积涂层的装置,包括可移动式基板载具,用以在所述第一处理腔室与所述第二处理腔室之间以线性路径或圆弧路径扫描所述基板。
3.根据权利要求1所述的沉积涂层的装置,其中所述第一处理腔室及所述第二处理腔室为相同的腔室。
4.根据权利要求1所述的沉积涂层的装置,其中的所述第一时期足以以所述第一反应物使所述基板的第一表面饱和,且在以所述第一反应物使所述表面饱和之后,吹净来自于所述第一处理腔室的过量的所述第一反应物;以及其中所述第二时期足以以所述第二反应物使具有所述第一反应物的所述基板的所述表面饱和,且在以所述第二反应物使所述表面饱和之后,吹净来自于所述第一处理腔室中的过量的所述第二反应物。
5.根据权利要求1所述的沉积涂层的装置,所述第二处理腔室包括:
用来形成等离子体的区域;以及
提取平板,其具有用以修改等离子体的等离子体鞘形状的开口,其中所述开口以所述角度范围提供离子至所述基板。
6.根据权利要求1所述的沉积涂层的装置,包括基板加热器,用以加热基板载具及热传导所述热至所述基板。
7.根据权利要求6所述的沉积涂层的装置,还包括等离子体清洗腔室,其中所述装置架构成使用一或多个所述等离子体清洗腔室以及所述基板加热器来提供所述基板的原位预清洗。
8.根据权利要求1所述的沉积涂层的装置,包括隔离器,用以隔离所述第一处理腔室的环境与所述第二处理腔室的环境。
9.根据权利要求1所述的沉积涂层的装置,包括等离子体源,其位在所述第一处理腔室及所述第二处理腔室的远程。
10.根据权利要求1所述的沉积涂层的装置,其中所述第二处理腔室可用以使所述角度范围在第一角度范围与第二角度范围之间变化,所述第一角度范围包括以0度为中心的正60度和负60度,所述第二角度范围小于所述第一角度范围,其中0度的角度的方向为所述基板的法线方向。
11.一种在基板上沉积共形膜的方法,包括:
在第一时间,于所述基板上沉积作为反应物层的第一反应物;
使第二反应物与所述反应物层反应;以及
使所述反应物层暴露于离子,所述离子相对于所述基板的平面以一角度范围入射至所述基板上。
12.根据权利要求11所述的在基板上沉积共形膜的方法,其中沉积所述第一反应物还包括以所述第一反应物使所述基板的表面饱和。
13.根据权利要求12所述的在基板上沉积共形膜的方法,还包括在使所述第二反应物与所述反应物层反应之前,吹净过量的所述第一反应物。
14.根据权利要求11所述的在基板上沉积共形膜的方法,还包括:
由第一工艺腔室提供所述第一反应物至所述基板;以及
由第二工艺腔室提供所述第二反应物至所述基板。
15.根据权利要求14所述的在基板上沉积共形膜的方法,还包括:
在所述第二工艺腔室中提供等离子体;以及
从所述等离子体穿过提取平板上的开口提取所述离子,所述提取平板用以修改邻近于所述提取平板的所述等离子体的等离子体鞘形状。
16.根据权利要求15所述的在基板上沉积共形膜的方法,包括使用远程等离子体源来提供离子。
17.根据权利要求11所述的在基板上沉积共形膜的方法,包括在一或多个所述沉积工艺、所述反应工艺及所述暴露工艺期间,加热所述基板。
18.根据权利要求11所述的在基板上沉积共形膜的方法,所述沉积步骤、所述反应步骤及所述暴露步骤各自包括沉积循环,所述方法还包括多次重复所述沉积循环。
19.根据权利要求14所述的在基板上沉积共形膜的方法,包括在所述沉积步骤及所述反应步骤之间,自邻近于所述第一工艺腔室的第一位置至邻近于所述第二工艺腔室的第二位置扫描所述基板。
20.根据权利要求19所述的在基板上沉积共形膜的方法,所述沉积步骤、所述反应步骤及所述暴露步骤包括沉积循环,所述方法还包括:
多次重复所述沉积循环;以及
在所述反应步骤及所述沉积步骤之间,自所述第二位置至所述第一位置扫描所述基板。
CN201280028774.4A 2011-04-13 2012-04-13 沉积涂层的装置与在基板上沉积共形膜的方法 Active CN103597114B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/085,615 US20120263887A1 (en) 2011-04-13 2011-04-13 Technique and apparatus for ion-assisted atomic layer deposition
US13/085,615 2011-04-13
PCT/US2012/033562 WO2012142439A1 (en) 2011-04-13 2012-04-13 Method and apparatus for ion-assisted atomic layer deposition

Publications (2)

Publication Number Publication Date
CN103597114A CN103597114A (zh) 2014-02-19
CN103597114B true CN103597114B (zh) 2015-12-23

Family

ID=46026932

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280028774.4A Active CN103597114B (zh) 2011-04-13 2012-04-13 沉积涂层的装置与在基板上沉积共形膜的方法

Country Status (6)

Country Link
US (1) US20120263887A1 (zh)
JP (1) JP2014515061A (zh)
KR (1) KR20140030172A (zh)
CN (1) CN103597114B (zh)
TW (1) TW201247932A (zh)
WO (1) WO2012142439A1 (zh)

Families Citing this family (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US8778603B2 (en) * 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9006065B2 (en) * 2012-10-09 2015-04-14 Advanced Ion Beam Technology, Inc. Plasma doping a non-planar semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103498192B (zh) * 2013-09-29 2016-07-06 青岛赛瑞达电子科技有限公司 双工位cvd炉
KR101494274B1 (ko) * 2013-11-08 2015-02-17 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6629312B2 (ja) 2014-07-03 2020-01-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 選択的堆積のための方法及び装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9450078B1 (en) 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10113229B2 (en) * 2015-12-21 2018-10-30 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling ion/neutral ratio of a plasma source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US20200002813A1 (en) * 2018-06-29 2020-01-02 Globalfoundries Inc. Isolated deposition zones for atomic layer deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10879055B2 (en) * 2018-07-17 2020-12-29 Varian Semiconductor Equipment Associates, Inc. Techniques, system and apparatus for selective deposition of a layer using angled ions
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11898248B2 (en) * 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5472507A (en) * 1986-03-31 1995-12-05 Hitachi, Ltd. IC wiring connecting method and apparatus
CN101054657A (zh) * 2001-12-12 2007-10-17 株式会社半导体能源研究所 膜形成装置和膜形成方法以及清洁方法
CN100483636C (zh) * 2002-11-12 2009-04-29 微米技术有限公司 原子层沉积方法
CN101631894A (zh) * 2006-12-08 2010-01-20 瓦里安半导体设备公司 原子层沉积的技术
CN101680087A (zh) * 2007-03-06 2010-03-24 瓦里安半导体设备公司 原子层沉积技术

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348576B2 (en) * 2005-03-16 2008-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for ion beam angle process control
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008234874A (ja) * 2007-03-16 2008-10-02 Sii Nanotechnology Inc 集束イオンビーム装置
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8790534B2 (en) * 2010-04-30 2014-07-29 Corporation For National Research Initiatives System and method for precision fabrication of micro- and nano-devices and structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5472507A (en) * 1986-03-31 1995-12-05 Hitachi, Ltd. IC wiring connecting method and apparatus
CN101054657A (zh) * 2001-12-12 2007-10-17 株式会社半导体能源研究所 膜形成装置和膜形成方法以及清洁方法
CN100483636C (zh) * 2002-11-12 2009-04-29 微米技术有限公司 原子层沉积方法
CN101631894A (zh) * 2006-12-08 2010-01-20 瓦里安半导体设备公司 原子层沉积的技术
CN101680087A (zh) * 2007-03-06 2010-03-24 瓦里安半导体设备公司 原子层沉积技术

Also Published As

Publication number Publication date
KR20140030172A (ko) 2014-03-11
WO2012142439A8 (en) 2014-06-26
JP2014515061A (ja) 2014-06-26
US20120263887A1 (en) 2012-10-18
CN103597114A (zh) 2014-02-19
WO2012142439A1 (en) 2012-10-18
TW201247932A (en) 2012-12-01

Similar Documents

Publication Publication Date Title
CN103597114B (zh) 沉积涂层的装置与在基板上沉积共形膜的方法
JP7293211B2 (ja) 高エネルギー原子層エッチング
US9847228B2 (en) Method for selectively depositing a layer on a three dimensional structure
JP6629312B2 (ja) 選択的堆積のための方法及び装置
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
TWI603388B (zh) 3d結構半導體應用之利用圖案化自組裝單層的選擇性原子層沉積製程
JP4533324B2 (ja) 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法
US20170243734A1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TW201806128A (zh) 包覆之方法
TWI682054B (zh) 使用原子層沉積鈦膜以使cmos用之基於氧化鈦/鈦的金屬絕緣半導體接觸方案的接觸電阻最小化之氧化鈦化學計量的調節方法
CN108735675A (zh) 氧化硅的选择性沉积
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
CN112242345A (zh) 创建气隙的方法
JP7175266B2 (ja) スパッタリングシャワーヘッド
TW201732892A (zh) 在氫電漿處理之表面上使用摻雜物氣體之保形摻雜
TW202046006A (zh) 極紫外光微影光阻的粗糙度降低
KR102659567B1 (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
CN108475611A (zh) 控制等离子体源的离子/中性物比的技术
TWI686500B (zh) 矽化物奈米線之層疊與核殼形成
WO2016007487A1 (en) Method for selectively depositing a layer on a three dimensional structure
KR20030002152A (ko) 플라즈마 화학기상증착을 이용한 막의 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant