JP4533324B2 - 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法 - Google Patents

中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法 Download PDF

Info

Publication number
JP4533324B2
JP4533324B2 JP2006027507A JP2006027507A JP4533324B2 JP 4533324 B2 JP4533324 B2 JP 4533324B2 JP 2006027507 A JP2006027507 A JP 2006027507A JP 2006027507 A JP2006027507 A JP 2006027507A JP 4533324 B2 JP4533324 B2 JP 4533324B2
Authority
JP
Japan
Prior art keywords
reflector
atomic layer
layer deposition
neutral beam
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006027507A
Other languages
English (en)
Other versions
JP2006265724A (ja
Inventor
ヨム グニョン
イ ドハイン
パク ビョンジェ
アン キョンジュン
Original Assignee
サンキョンカン ユニバーシティ ファンデーション フォー コーポレート コラボレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンキョンカン ユニバーシティ ファンデーション フォー コーポレート コラボレーション filed Critical サンキョンカン ユニバーシティ ファンデーション フォー コーポレート コラボレーション
Publication of JP2006265724A publication Critical patent/JP2006265724A/ja
Application granted granted Critical
Publication of JP4533324B2 publication Critical patent/JP4533324B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/4551Jet streams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法に関し、より詳しくは、第2の反応ガスをプラズマ化して発生したラジカル(Radical)のフラックス(Flux)、すなわちイオンビームを中性ビーム化して被処理基板に照射するようにした中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法に関する。
最近、半導体素子の高集積化に対する持続的な要求に従って半導体集積回路の設計においてデザインルールが一層減少されて90nm以下の臨界寸法(Critical Dimension)が要求されている。 現在、このようなナノメートル級半導体素子を具現するための装備として高密度プラズマ(High Density Plasma)装置、反応性イオン装置(Reactive Ion Etcher)などのイオン強化用装備が主に使われている。しかし、このような装備では、エッチング(または蒸着)工程を実行するための多量のイオンが存在し、これらイオンが数百eVのエネルギーをもって半導体基板または半導体基板上の特定物質層に衝突するので、半導体基板や特定物質層に物理的、電気的損傷を発生させる。
例えば、物理的損傷には、イオンと衝突する結晶性の基板または特定物質層の表面が非晶質層に転換されるか、入射イオンの一部が吸着されるか衝突される物質層の一部成分だけが選択的に脱離されてエッチング(または蒸着)される表面層の化学的組成が変化されるか、表面層の原子結合が衝突により破損されてダングリング・ボンド(dangling bond)されることがある。このようなダングリング・ボンドは、材料の物理的損傷だけでなく、電気的損傷の発生原因になる。その他にゲート絶縁膜のチャージアップ(charge up)損傷やフォトレジストのチャージング(charging)に起因したポリシリコンのノッチング(notching)などによる電気的損傷を起こす。また、このような物理的、電気的損傷の以外にもチャンバ物質による汚染やCF系反応ガスを使用する場合のC−Fポリマーの発生など反応ガスによる表面の汚染が発生する。
したがって、ナノメートル級半導体素子においてこのようなイオンによる物理的、電気的損傷などは、素子の信頼性を低下させ、ひいては生産性を減少させる要因になるので、今後の半導体素子の高集積化とそれによるデザインルールの減少に対応して適用できる新しい概念の半導体エッチング(または蒸着)装置及びエッチング(または蒸着)方法に関する開発が要求されている。
従来、D.B.Oakesなどは、論文“Selective、Anisotropic and Damage−Free SiO2 Etching with a Hyperthermal Atomic Beam”に、過熱された原子ビームを利用した非損傷エッチング技術を提案しており、Takashi Yunogamiなどは、論文“Development of neutral−beam−assisted etcher”(J.Vac.Sci. Technol. A 13(3)、May/June、1995)に、中性ビームと中性ラジカルを利用して損傷が非常に少ないシリコンオキサイドエッチング技術を提案しており、M.J.Goecknerなどは、論文“Reduction of Residual Charge in Surface−Neutralization−Based Beams”(1997 2nd International Symposium on Plasma Process−Induced Damage. May 13−14、Monterey、CA.)に、プラズマの代りに電荷がない過熱中性ビームに関するエッチング技術を開示している。
一般に、半導体装置の製造工程時に薄膜を均一に蒸着するために、スパッタリング法(Sputtering)、化学気相蒸着法(CVD)、原子層蒸着法(ALD:Atomic layer depositon)を適用する。スパッタリング法は、アルゴン等の不活性ガスをプラズマ化してターゲットの表面をスパッタする原理を利用したことで、接着性が優秀な高純度薄膜を形成することができるが、これを利用した蒸着時、全体薄膜上での均一度を確保することが難しい短所がある。
現在一番多く使われているCVDは、多様なガスを注入した後に高温の熱や、光、プラズマのような高いエネルギーにより誘導されたガスを化学反応させて希望する厚さの薄膜を形成している。しかし、CVDの場合、段差被覆性が優秀で生産性が高い長所を持っているが、薄膜形成時の温度が非常に高くて厚さを数Å単位で精密に制御することができない問題点を有している。また、二つ以上の反応ガスが同時に反応器内部に供給されるので、この時に汚染源になるパーティクルが発生する。
一方、ALDは、反応ガスとパージガスを交互に供給して原子層単位の薄膜を蒸着する方法であって、これは、最近半導体工程がさらに微細化されつつ、所望の薄膜の厚さが薄くなり、これらの原子層単位での精密な制御が求められていて、CVDが有する限界を克服するために提案された技術である。ALDを利用した場合、均一な薄膜を得るのみならず、原子層単位の微細な厚さを調節することができ、汚染源パーティクルの生成も抑制することができる。
しかし、このようなALD工程においても、第2の反応ガスを注入して高温で反応を起こすか、第2の反応ガスをプラズマ化したフラックスを使うようになるが、この時、プラズマの使用によってイオンまたは電子によるチャージングが発生でき、第2の反応ガスをそのまま注入した場合は、ALD工程の第2の反応ガス工程が高温で行われるようになる問題点があった。
また、チャージングの問題点を克服するため、リモートプラズマ(remote plasma)を利用する方法が開示されているが、リモートプラズマを利用する場合にはフラックスとエネルギーが減少する問題点があった。
したがって、本発明は上述したような従来技術の問題点を解決するためになされたもので、その目的は、エネルギーを有するイオンを反射体により反射させて生成するか、再結合または電荷交換を行うことによって中性ビームを発生させることができ、このような中性ビームをALD工程中、特に第2の反応ガス工程に適用することができるエネルギーを有した(過熱された)ラジカルを利用した低温中性ビームを利用した原子層蒸着装置を提供することにある。
本発明の他の目的は、第2の反応ガスをプラズマ化して中性ビーム化して被処理基板に照射することにより、プラズマALD工程の中で第2の反応ガス工程がプラズマイオンによりチャージングされることなく、すなわち、電気的損傷なしに原子層蒸着を実行することができる原子層蒸着方法を提供することにある。
前記課題を解決するための本発明による中性ビームを利用した原子層蒸着装置は、注入口を通じて注入されたガスをプラズマ状態に変換するイオンソースと注入口を通じてガスが注入されることができ、中性ビームの進行経路上に被処理基板を位置させることができるステージを含む反応チャンバと前記イオンソースの一端部に位置し、特定極性のイオンビームを加速させる複数個のグリッドホールが形成されたグリッドアセンブリと前記グリッドアセンブリのグリッドホールに合わせられた複数個の反射体ホールまたはスリット部が形成されていて、前記反射体内の前記反射体ホールまたは前記スリット部の表面に入射される前記イオンビームが、入射角15°以内で入射し、前記反射体内部で一回だけ反射して、中性ビームに転換される反射体とを含んでおり、第1の反応ガスが前記反応チャンバの注入口に注入されて前記被処理基板と反応した後、第2の反応ガスが前記イオンソースの注入口に注入されて中性ビームになった後、被処理基板に照射されるように構成したことを特徴とする。
好ましくは、前記中性ビームは、エネルギーを有するイオンを導電性の反射体に衝突させて生成するか、再結合または電荷交換(Charge Exchange)を行うことによって生成する。
好ましくは、前記反射体ホールまたはスリット部の直径は、前記グリッドホールの直径と同一であるか、それより大きい。
好ましくは、前記反射体ホールまたはスリット部は、前記グリッドホールを通過して直進するイオンビームが前記反射体ホールまたはスリット部の内部から反射されるようにイオンビームの直進方向に対して一定の角度で傾いている。
好ましくは、前記イオンソースは、容量結合(capacitively coupled)RF型イオンソース、へリコン波結合イオンソース、陰イオンソース、電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance)イオンソースまたは誘導結合型プラズマ(ICP:Inductively−coupled Plasma)ソースのうちいずれか一つである。
好ましくは、前記反射体内の反射体ホールまたはスリット部の表面から反射されるイオンビームの反射角は、40゜以内である。
好ましくは、前記反射体の材質は、半導体、DLC、ガラス状炭素(glassy carbon)及び金属から選択されるいずれかの一の材質からなる
好ましくは、前記反応チャンバには、被処理基板に化学的に吸着されない物質と化学的に吸着される物質とを含む第1の反応ガスと、不活性ガスと、を注入する。
好ましくは、前記イオンソースに注入されるガスは、被処理基板に化学的に吸着されない物質を除去するための第2の反応ガスである。
好ましくは、前記イオンソースに注入された第2の反応ガスは、プラズマ化されてイオンビームを形成した後、反射体により反射されるか再結合または電荷交換を行うことによって中性ビームに転換された後、被処理基板に照射され、前記被処理基板に化学的に吸着されない物質を被処理基板から除去する。
また、前記課題を解決するための本発明による中性ビームを利用した原子層蒸着方法は、被処理基板に化学的に吸着されない物質を含む第1の反応ガスを被処理基板がローディングされた反応チャンバ内に供給して化学的に吸着されない物質を含む第1の反応物吸着層を被処理基板上に化学的に吸着させて形成する段階と、前記第1の反応物吸着層が形成された被処理基板上に第2の反応ガスを中性ビームに生成して照射して化学的に被処理基板上に吸着されない物質を第1の反応物吸着層から除去して第2の反応物吸着層を形成する段階と、を備えることを特徴とする。
好ましくは、前記中性ビームは、エネルギーを有するイオンを反射体に反射させて生成するか、再結合または電荷交換を行うことによって生成する。
好ましくは、前記第1の反応物吸着層形成段階及び前記第2の反応物吸着層形成段階後、反応チャンバに不活性ガスを注入して第1及び第2の反応物吸着層形成段階から発生する副産物を除去するパージ段階をさらに備える。
好ましくは、前記第1の反応物吸着層形成段階で注入される第1の反応ガスは、SiCl4、TiCl4、SiH2Cl2、Si2Cl6、TaCl3、AlCl3、Al(CH3)2Cl、ZrCl4、HfCl4、TaCl3などの金属ハロゲン元素の形態を有するガスのうちいずれか一つである。
好ましくは、前記第2の反応ガスは、水素、酸素、窒素、CH系(アンモニア)ガスのうちいずれか一つである。
好ましくは、前記第2の反応物吸着層形成段階で被処理基板に照射される中性ビームは、イオンソースにより水素、酸素、窒素、CH系(アンモニア)ガスなどをプラズマ化してイオンビームを生成し、該イオンビームを反射体により反射するか再結合または電荷交換を行うことによって中性ビームに生成される。
好ましくは、前記パージ段階で反応チャンバに注入される不活性ガスは、アルゴン、窒素、ヘリウムガスなどである。
好ましくは、前記第1の反応物吸着層形成段階、パージ段階、第2の反応物吸着層形成段階及びパージ段階を一つのサイクルとして繰り返す。
また、前記課題を解決するための本発明による中性ビームを利用した原子層蒸着方法は、被処理基板に化学的に吸着されない物質を含む第1の反応ガスを被処理基板がローディングされた反応チャンバ内に供給して化学的に吸着されない物質を含む第1の反応物吸着層を被処理基板上に化学的に吸着させて形成する段階と、前記第1の反応物吸着層が形成された被処理基板上に第2の反応ガスにより生成された中性ビームを照射して化学的に被処理基板上に吸着されない物質を第1の反応物吸着層から除去して第2の反応物吸着層を形成する段階と、を実行する原子層蒸着装置を利用した蒸着方法であって、この蒸着方法を、Siなどを含む単原子層、多様な窒化物、金属酸化物または金属膜の蒸着に利用することができることを特徴とする。
好ましくは、窒化物は、TiN、SiN、ZrN、TaN、GaN、WN、WBN、Ya3N5、WSiN、TiSiN、TaSiN、AlSiN、AlTiNのうちいずれか一つであり、金属膜は、Al、Cu、Mo、Ir、W、Ag、Ta、Pt、Irを含み、金属酸化物は、既存のSiO2を代替することができるTa2O5、Ta2O3、TiO2、ZrO2、HfO2、Ya2O3、La2O3、Nb2O5、CeO2、SiO2、Al2O3、In2O3、RuO2、IrO2、SrTiO3、PbTiO3、SrRuO3、CaRuO3、(Ba、Sr)TiO3、Pb(Zr、Ti)O3、(Pb、La)(Zr、Ti)O3、(Sr、Ca)RuO3、(Ba、Sr)RuO3などを含む。
本発明によれば、エネルギーを有する多様な反応性または非反応性イオンを、物体に反射したり、再結合又は電荷交換などを行うことによって中性化させ、これをALD工程に利用することにより、低温でチャージングによる損傷なしに工程を実行することができ、蒸着可能な物質には、Siなどを含む基本的な単原子層だけでなく、多様な窒化物、金属酸化物及び金属膜を利用することができ、このような物質は、半導体素子の製造工程中にゲート誘電膜(酸化膜)、ゲート電極、キャパシタ電極、キャパシタ誘電膜、拡散バリアー膜、金属配線等に効果的に利用することができる。
以下、本発明の望ましい実施形態を添付図面を参照して詳細に説明する。以下の説明において、同一の構成要素については出来るだけ同一の参照番号及び参照符号を使用する。
図1は、本発明の一実施形態による蒸着装置を概略的に示す図であり、図2は、図1のイオンソース及びグリッドを示す斜視図であり、図3は、図1の反射体を示す斜視図である。
本発明は、中性ビームについての前記理論的根拠に基づいてナノメートル級半導体素子のALD工程において一層望ましい条件を具現したもので、図1乃至図3を参照して本発明に使用される蒸着装置について説明する。
図1を参照すれば、イオンソース10から発生したイオンビームが複数個のグリッドホール14aを通過し、反射体ホール42の表面から反射された後に中性ビームに転換され、被処理基板20に入射されて被処理基板20上の特定物質層を照射する。
イオンソース10は、ガス注入口11を通じて注入された各種反応ガスからイオンビームを発生させることができるもので、本発明の実施形態では誘導コイル12に誘導電力を印加してプラズマを発生させる誘導結合型プラズマ(ICP)発生装置を使用した。しかし、これに限定されないで、多様な形態に変形されたイオンソースを使用することができる。
イオンソース10の後端部には、電圧印加によりイオンビームを加速させることができ、同時にイオンビームが通過できる複数個のグリッドホール14aが形成されたグリッドアセンブリ14が結合されている。
グリッドアセンブリ14の後端には、入射されるイオンビームを反射して中性ビームに転換させる反射体40が密着されている。反射体40は、半導体、金属などの導電性物質からなり、反射体40内の反射体ホール42の表面だけをこれら材質で構成することもできる。また、反射体の材質は、DLC、ガラス状炭素(glassy carbon)などの導電体鏡面または金属基板からなることもできる。
一方、グリッドホール14aを通過して直進するイオンビームが反射体ホール42の内部から反射されるように、反射体ホール42はイオンビームの直進方向に対して一定の角度で傾いている。
反射体40は、入射されたイオンビームにより発生する電荷の放電のために接地することが好ましい。また、図3では、円筒状に図示されているが、反射体40は必ず円筒状に限定されないで、多様な形態、例えば、四角形などの多角形柱形態に製作できる。
また、図3では、反射体ホール42が円筒形状に図示されているが、これに限定されないで、矩形または多角形などの多様な柱形態の反射体ホール42が形成できる。
特に、本発明の発明者は、実際のエッチング装置を製作する際に、本願発明者の大韓民国特許出願第2003−0042116号公報に開示したように、反射体ホール42の代わりに反射体40の内部にスリット部を形成する。このスリット部の形成によれば、反射体部分の空間狭小化の問題が解決される。本願では、多様な形状の反射体ホール42及びスリット部を全部‘反射体ホール’と称する。
一方、反射体ホール42の傾斜は、グリッドホール14aを通過した後に直進するイオンビームが反射体ホール42の内部から一度だけ反射されるように形成する。本実施形態において反射体ホールの傾斜は、反射体ホールの内表面に入射されるイオンビームの入射角が少なくとも15゜以内になるようにし、好ましくは、少なくとも3゜乃至 15゜の範囲になるように構成する。イオンビームの入射角が少なくとも3゜乃至15゜の範囲であることは、反射体ホール42の表面に対して垂直した法線を基準とした入射角が少なくとも75゜乃至87゜であることを意味する。
また、反射体40内の反射体ホール42の表面から反射される中性ビームの反射角は、40゜以内になり、好ましくは、5゜乃至40゜の範囲になるように構成する。
本出願人の先出願によれば、以上のような入射角及び反射角の条件で最適の中性ビームフラックス量を得ることができる。
一方、反射体40から反射されて転換された中性ビームの進行経路上には被処理基板20が配置される。被処理基板20は、真空装置(図示せず)により一定な真空度に維持される反応チャンバ50内のステージ60上に装着される。このようなステージ60は、中性ビームの進行経路に対して垂直方向に配置することもでき、蒸着工程の種類によって一定の角度で傾くように(tilting)配置することができるように、その位置及び方向を制御して設置することができる。また、反応チャンバ50には多様なガス注入のためのガス注入口51が形成され、ステージ60には被処理基板20を加熱するためのヒーター61が具備される。
以下、上述の中性ビームを用いた原子層蒸着装置を利用した一実施形態によるALD工程について説明する。
本実施形態では、被処理基板20に化学的に吸着されない物質としてハロゲン族元素を選択し、これによって、第1の反応ガスとしてハロゲン族元素を含むガスを選択した。また、第2の反応ガスとしては、ハロゲン族元素と反応してこれを被処理基板20上から除去できるガスを選択し、ハロゲン族元素及びその外の副産物を除去するパージガスとしては、被処理基板20上に蒸着された物質と反応しない不活性ガスを選択した。一方、このようなガスは、実施形態の変形によって多様に変更できる。
以下、図4を参照して本発明のALD工程について詳しく説明する。図4(a)乃至図4(d)は、本発明の好ましい実施形態によるALD工程で薄膜を蒸着する方法を説明するため、工程手順による被処理基板20の状態を示した断面図である。
図4(a)を参照すれば、半導体素子を製造するために、薄膜形成に必要な第1の反応ガス21を被処理基板20上に供給して第1の反応物吸着層22を形成する。第1の反応ガス21は、通常的にハロゲン族元素、例えば、塩素(Cl)原子を含む前駆体である。その結果、被処理基板20上にはハロゲン族元素を含む第1の反応物吸着層22が化学的に吸着(または蒸着)されて形成される(第1の反応ガス工程)。
このような第1の反応ガス工程について詳しく説明すれば、次のようである。
反応チャンバ50内のヒーター61を具備したステージ60上に被処理基板20をローディングした後、ヒーター61を動作させて反応チャンバ50または被処理基板20を450℃以下の比較的低温に維持し、チャンバの圧力を1トル以下に維持させた状態で、シリコン膜を形成するために必要な第1の反応ガス21、例えば、SiCl4を反応チャンバのガス注入口51を通じて60秒間被処理基板20上に供給した。この時、被処理基板20上にはシリコン原子が化学吸着された状態でSi−Cl結合を含む第1の反応物吸着層22が形成される。
第1の反応ガス21は、被処理基板20上に形成しようとする薄膜の種類によって、例えば、SiCl4、TiCl4、SiH2Cl2、Si2Cl6、TaCl3、AlCl3、Al(CH3)2Cl、ZrCl4、HfCl4などの金属ハロゲン元素の形態からなったガスグループから選択して供給することができる。
例えば、被処理基板20上にシリコン窒化膜またはシリコン酸化膜を形成しようとする場合には、第1の反応ガス21としてシリコンソースガスであるSiCl4、SiH2Cl2またはSi2Cl6を供給し、被処理基板20上にTa2O5膜を形成しようとする場合には、第1の反応ガス21としてTaCl3を供給することができる。また、被処理基板20上にAl2O3膜を形成しようとする場合には、第1の反応ガス21としてAlCl3を供給することができる。
一方、このような第1の反応ガス21を利用してSiなどの単原子層だけではなく、TiN、SiN、ZrN、TaN、GaN、WN、WBN、Ya3N5、WSiN、TiSiN、TaSiN、AlSiN、AlTiNなどの窒化膜、Al、Cu、Mo、Ir、W、Ag、Ta、Pt、Irなどの金属膜及びTa2O5、Ta2O3、TiO2、ZrO2、HfO2、Ya2O3、La2O3、Nb2O5、CeO2、SiO2、Al2O3、In2O3、RuO2、IrO2、SrTiO3、PbTiO3、SrRuO3、CaRuO3、(Ba、Sr)TiO3、Pb(Zr、Ti)O3、(Pb、La)(Zr、Ti)O3、(Sr、Ca)RuO3、(Ba、Sr)RuO3などの金属酸化膜を蒸着することができる。
次に、図4(b)を参照すれば、ハロゲン元素を含む第1の反応物吸着層22が化学的に吸着された被処理基板20上に残存する副産物を除去するために不活性ガス23、例えば、窒素ガスを反応チャンバ50のガス注入口51を通じて供給してパージ(purge)する(パージ工程)。副産物を除去するためにパージ工程の代わりにポンピング(pumping)工程を利用することもできる。
より詳しく説明すれば、Si−Cl結合を含む第1の反応物吸着層22が形成された反応チャンバ50内の被処理基板20上に、例えば、窒素ガスを30秒間供給してパージすることで被処理基板20上に残存する副産物を除去した。パージされた副産物は排出口(図示せず)を通じて排出される。
次に、図4(c)を参照すれば、第1の反応物吸着層22が形成された被処理基板20上に化学的に吸着されない塩素と反応する第2の反応ガス24、例えば、水素ガスを供給する(第2の反応ガス工程)。
第2の反応ガス24としては、被処理基板20に化学的に吸着されない物質によって、すなわち、第1の反応ガスによって水素以外に酸素、窒素、CH系(例えば、アンモニア)などのガスを供給することができる。
詳しく説明すれば、水素ガスをイオンソース10の注入口11を通じてイオンソース10内に注入し、誘導コイル12により水素ガスをプラズマ化し、下部の反射体40側に加速させた後、反射体の反射体ホール42の表面に反射させて中性ビームを生成する。この時、中性化反応は明示された反射体により生成するだけでなく、再結合、または電荷交換(charge exchange)などを行うことによって生成することもできる。
次に、このように生成された中性ビームは、第1の反応物吸着層22に結合されているハロゲン族元素、例えば、塩素と反応して第1の反応物吸着層22からハロゲン族元素が除去されることにより、被処理基板20上にはハロゲン族元素が除去された第2の反応物吸着層25が残るようになる。
この時、第1の反応物吸着層22が形成された被処理基板20上に供給される中性ビームは、60秒間供給し、誘導コイル12に印加されたRFパワーは、40ワットにした。この時、塩素原子は、HClの形態になって第1の反応物吸着層22から分離され、被処理基板20上にはシリコン原子だけで形成される第2の反応物吸着層25が残るようになる。
次に、図4(d)を参照すれば、ハロゲン族元素が除去された第2の反応物吸着層25が蒸着された被処理基板20上に残存する副産物を除去する(パージ工程)。図4(b)を参照して説明したように、不活性ガス26を利用してパージするかまたはポンピング工程を利用して除去する。
すなわち、ハロゲン元素を含む第2の反応物吸着層25が化学的に吸着された被処理基板20上に残存する副産物(HCl)を除去するために不活性ガス26、例えば、窒素ガスを反応チャンバ50のガス注入口51を通じて供給して工程副産物をパージ(purge)する。副産物を除去するためにパージ工程の代わりにポンピング(pumping)工程を利用することもできる。
より詳しく説明すれば、HCl結合を含む第2の反応物吸着層25が形成された被処理基板20 上に、例えば、窒素ガスを30秒間供給してパージすることで被処理基板20上に残存する副産物を除去した。パージされた副産物は排出口(図示せず)を通じて排出される。
一方、所望の厚さを有する薄膜が得られるまで図4(a)乃至図4(d)の工程からなる一つのサイクルを複数回繰り返す。
これによって、第2の反応物吸着層25、例えば、所定厚さのシリコン膜が被処理基板20上に蒸着される。
以上において説明した本発明は、本発明が属する技術の分野における通常の知識を有する者であれば、本発明の技術的思想を逸脱しない範囲内で、様々な置換、変形及び変更が可能であるので、上述した実施例及び添付された図面に限定されるものではない。
本発明の一実施の形態による中性ビームを利用した原子層蒸着装置を概略的に示す図 図1に示された蒸着装置のイオンソースを概略的に示す斜視図 図1に示された蒸着装置の中性ビーム発生部(反射体)を概略的に示す斜視図 図1に示された原子層蒸着装置を利用して原子層を蒸着する工程を段階別に示す工程フロー図
符号の説明
10 イオンソース
11 ガス注入口
12 誘導コイル
14 グリッドアセンブリ
14a グリッドホール
20 被処理基板
21 第1の反応ガス
22 第1の反応物吸着層
23 不活性ガス
24 第2の反応ガス
25 第2の反応物吸着層
26 不活性ガス
40 反射体
42 反射体ホール
50 反応チャンバ
51 ガス注入口
60 ステージ
61 ヒーター

Claims (7)

  1. 注入口を通じて注入されたガスをプラズマ状態に変換するイオンソースと、
    注入口を通じてガスが注入されることができ、中性ビームの進行経路上に被処理基板を位置させることができるステージを含む反応チャンバと、
    前記イオンソースの一端部に位置し、特定極性のイオンビームを加速させる複数個のグリッドホールが形成されたグリッドアセンブリと、
    前記グリッドアセンブリのグリッドホールに合わせられた複数個の反射体ホールまたはスリット部が形成されていて、反射体の内部の前記反射体ホールまたは前記スリット部の表面に入射される前記イオンビームが、入射角15°以内で入射し、反射体の内部で一回だけ反射して、中性ビームに転換される、前記反射体と、を含んでおり、
    第1の反応ガスが前記反応チャンバの注入口に注入されて前記被処理基板と反応した後、第2の反応ガスが前記イオンソースの注入口に注入されて中性ビームになった後、被処理基板に照射されるように構成したことを特徴とする中性ビームを利用した原子層蒸着装置。
  2. 前記中性ビームはエネルギーを有するイオンを電導性の反射体に衝突させて生成するか、再結合または電荷交換(Charge Exchange)を行うことによって生成すること
    を特徴とする請求項1に記載の中性ビームを利用した原子層蒸着装置。
  3. 前記反射体ホールまたはスリット部の直径は、前記グリッドホールの直径と同一であるか、それより大きいこと
    を特徴とする請求項1に記載の中性ビームを利用した原子層蒸着装置。
  4. 前記反射体ホールまたはスリット部は、前記グリッドホールを通過して直進するイオンビームが前記反射体ホールまたはスリット部の内部から反射されるように、イオンビームの直進方向に対して一定の角度で傾いていること
    を特徴とする請求項1に記載の中性ビームを利用した原子層蒸着装置。
  5. 前記イオンソースは、容量結合(Capacitively Coupled)RF型イオンソース、へリコン波結合イオンソース、陰イオンソース、電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance)イオンソースまたは誘導結合型プラズマ(ICP:Inductively−coupled Plasma)ソースのうちいずれか一つであること
    を特徴とする請求項1に記載の中性ビームを利用した原子層蒸着装置。
  6. 前記反射体内の反射体ホールまたはスリット部の表面から反射されるイオンビームの反射角は、40゜以内であること
    を特徴とする請求項1に記載の中性ビームを利用した原子層蒸着装置。
  7. 前記反射体は、半導体、DLC、ガラス状炭素(glassy carbon)及び金属から選択されるいずれかの一の材質からなることを特徴とする請求項1に記載の中性ビームを利用した原子層蒸着装置。

JP2006027507A 2005-03-22 2006-02-03 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法 Expired - Fee Related JP4533324B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050023782A KR100669828B1 (ko) 2005-03-22 2005-03-22 중성빔을 이용한 원자층 증착장치 및 이 장치를 이용한원자층 증착방법

Publications (2)

Publication Number Publication Date
JP2006265724A JP2006265724A (ja) 2006-10-05
JP4533324B2 true JP4533324B2 (ja) 2010-09-01

Family

ID=37033922

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006027507A Expired - Fee Related JP4533324B2 (ja) 2005-03-22 2006-02-03 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法

Country Status (3)

Country Link
US (2) US7919142B2 (ja)
JP (1) JP4533324B2 (ja)
KR (1) KR100669828B1 (ja)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7998537B2 (en) * 2002-03-01 2011-08-16 The Chinese University Of Hong Kong Method for selectively removing hydrogen from molecules
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR101385750B1 (ko) * 2007-11-30 2014-04-18 삼성전자주식회사 중성빔을 이용하는 기판 처리 장치 및 방법
KR100988390B1 (ko) * 2008-02-11 2010-10-18 성균관대학교산학협력단 기판처리장치 및 기판처리방법
KR100919763B1 (ko) * 2008-02-11 2009-10-07 성균관대학교산학협력단 중성빔을 이용한 기판 표면의 조성 혼입 장치 및 방법
US20100031152A1 (en) * 2008-07-31 2010-02-04 Microsoft Corporation Creation and Navigation of Infinite Canvas Presentation
US8108777B2 (en) 2008-08-11 2012-01-31 Microsoft Corporation Sections of a presentation having user-definable properties
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10127524B2 (en) 2009-05-26 2018-11-13 Microsoft Technology Licensing, Llc Shared collaboration canvas
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101364364B1 (ko) * 2010-02-26 2014-02-19 성균관대학교산학협력단 반도체 기판의 제조 방법
KR101239575B1 (ko) * 2010-08-16 2013-03-05 고려대학교 산학협력단 기체 차단막 형성 장치 및 그 방법
US9864612B2 (en) 2010-12-23 2018-01-09 Microsoft Technology Licensing, Llc Techniques to customize a user interface for different displays
KR20120108324A (ko) * 2011-03-23 2012-10-05 한국기초과학지원연구원 중성 입자빔을 이용한 발광 소자 제조 방법 및 그 장치
JP5535981B2 (ja) * 2011-04-28 2014-07-02 三菱重工業株式会社 シリコン系薄膜の製膜装置及びそれを備える光電変換装置の製造装置、並びに、シリコン系薄膜の製膜方法及びそれを用いる光電変換装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US8682973B2 (en) 2011-10-05 2014-03-25 Microsoft Corporation Multi-user and multi-device collaboration
US9544158B2 (en) 2011-10-05 2017-01-10 Microsoft Technology Licensing, Llc Workspace collaboration via a wall-type computing device
US10198485B2 (en) 2011-10-13 2019-02-05 Microsoft Technology Licensing, Llc Authoring of data visualizations and maps
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5208294B2 (ja) * 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9288889B2 (en) 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
KR101530445B1 (ko) * 2013-12-23 2015-07-02 신화일렉트론 주식회사 금속 산화막 형성 장치
JP6349234B2 (ja) * 2014-02-19 2018-06-27 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6321455B2 (ja) * 2014-05-21 2018-05-09 東京応化工業株式会社 窒化ガリウムの製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016056862A1 (ko) * 2014-10-10 2016-04-14 고려대학교 산학협력단 중성입자빔 발생 장치를 이용한 비휘발성 메모리 박막 소자의 제조 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101627354B1 (ko) * 2016-02-01 2016-06-07 고려대학교 산학협력단 중성입자빔 발생 장치를 이용한 비휘발성 메모리 박막 트랜지스터 제조 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109518166B (zh) * 2019-01-28 2023-09-22 南京爱通智能科技有限公司 一种适用于超大规模原子层沉积的气体匀流系统
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) * 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210039825A (ko) 2019-10-02 2021-04-12 삼성전자주식회사 기판 증착장치 및 이를 구비하는 기판 증착 시스템
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102396275B1 (ko) * 2020-06-05 2022-05-09 성균관대학교산학협력단 반도체 발광소자 및 이의 제조 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62291032A (ja) * 1986-06-11 1987-12-17 Hitachi Ltd 表面処理装置
JPH0689873A (ja) * 1992-07-24 1994-03-29 Nippon Steel Corp 化学気相成長による金属薄膜形成方法
JP2003092291A (ja) * 2001-09-19 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2004327639A (ja) * 2003-04-24 2004-11-18 Hitachi Kokusai Electric Inc 半導体原料、半導体装置の製造方法、基板処理方法、および基板処理装置
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114580A (ja) * 1991-09-26 1993-05-07 Fujitsu Ltd 半導体装置の製造方法
KR0126781B1 (ko) * 1994-07-19 1997-12-29 김광호 박막 제조방법 및 그 제조장치
KR100265759B1 (ko) * 1997-09-26 2000-09-15 윤종용 전자빔을이용한저온층간절연막형성방법
KR100408137B1 (ko) * 2001-11-26 2003-12-06 학교법인 성균관대학 중성빔을 이용한 층대층 식각장치 및 식각방법
KR100412953B1 (ko) * 2001-11-26 2003-12-31 학교법인 성균관대학 중성빔을 이용한 식각장치
US7300556B2 (en) 2003-08-29 2007-11-27 Hitachi Global Storage Technologies Netherlands B.V. Method for depositing a thin film adhesion layer
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62291032A (ja) * 1986-06-11 1987-12-17 Hitachi Ltd 表面処理装置
JPH0689873A (ja) * 1992-07-24 1994-03-29 Nippon Steel Corp 化学気相成長による金属薄膜形成方法
JP2003092291A (ja) * 2001-09-19 2003-03-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2004327639A (ja) * 2003-04-24 2004-11-18 Hitachi Kokusai Electric Inc 半導体原料、半導体装置の製造方法、基板処理方法、および基板処理装置
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置

Also Published As

Publication number Publication date
US20060213443A1 (en) 2006-09-28
JP2006265724A (ja) 2006-10-05
US7919142B2 (en) 2011-04-05
KR100669828B1 (ko) 2007-01-16
US20110162581A1 (en) 2011-07-07
KR20060102043A (ko) 2006-09-27

Similar Documents

Publication Publication Date Title
JP4533324B2 (ja) 中性ビームを利用した原子層蒸着装置及びこの装置を利用した原子層蒸着方法
JP7293211B2 (ja) 高エネルギー原子層エッチング
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
KR102669793B1 (ko) 원자층 식각을 포함하는 연속 공정
TWI680510B (zh) 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
JP7320646B2 (ja) 被処理体を処理する方法
JP2016131238A (ja) 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
KR20170022922A (ko) 텅스텐 및 다른 금속들의 원자층 에칭
CN112640064A (zh) 用于高深宽比蚀刻的含金属钝化
US11637022B2 (en) Electron excitation atomic layer etch
TW202046006A (zh) 極紫外光微影光阻的粗糙度降低
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
TWI774754B (zh) 自對準觸點與閘極處理流程
CN118176564A (zh) 等离子体增强的成膜方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090324

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090327

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100608

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100611

R150 Certificate of patent or registration of utility model

Ref document number: 4533324

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140618

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees