CN102652355A - 在流动式cvd工艺所形成的介电材料上进行的湿法氧化工艺 - Google Patents

在流动式cvd工艺所形成的介电材料上进行的湿法氧化工艺 Download PDF

Info

Publication number
CN102652355A
CN102652355A CN2010800557918A CN201080055791A CN102652355A CN 102652355 A CN102652355 A CN 102652355A CN 2010800557918 A CN2010800557918 A CN 2010800557918A CN 201080055791 A CN201080055791 A CN 201080055791A CN 102652355 A CN102652355 A CN 102652355A
Authority
CN
China
Prior art keywords
substrate
silicon
dielectric material
precursor
oxidation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800557918A
Other languages
English (en)
Inventor
L·王
A·B·马利克
N·K·英格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102652355A publication Critical patent/CN102652355A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)

Abstract

提供在含硅介电材料上进行湿法氧化工艺的方法,该含硅介电材料填充在基板内所界定的沟槽或孔洞内。在一实施例中,在基板上形成介电材料的方法包括藉由流动式CVD工艺在基板上形成介电材料、固化设置在基板上的介电材料、在设置在基板上的介电材料上进行湿法氧化工艺,以及在基板上形成经氧化介电材料。

Description

在流动式CVD工艺所形成的介电材料上进行的湿法氧化工艺
发明背景
【发明的领域】
本发明关于处理诸如半导体晶片的基板的方法,具体而言,是关于用以湿法氧化设置于基板上的介电材料的方法。
【相关技术的描述】
可靠地制造次半微米以及更小的特征结构是用于下一代半导体装置的超大型集成电路(very large scale integration,VLSI)以及极大型集成电路(ultralarge-scale integration,ULSI)的关键技术之一。然而,随着集成电路技术的边际受到压缩,在VLIS及ULSI技术中的互连的日益收缩的尺寸(shrinkingdimension)对处理能力有额外的需求。集成电路可包括形成于基板上(例如,半导体晶片)的,并于电路内协力执行多种功能的超过一百万个微电子场效应晶体管(例如,互补金属氧化物半导体(CMOS)场效应晶体管)。对集成电路的成功,以及对增加电路密度及各个基板与晶片品质的不懈努力而言,可靠形成栅图案及浅槽隔离(shallow trench isolation,STI)区域是重要的。为了达成较大的电路密度,不止需要缩小装置的特征尺寸,也需要缩小装置之间的隔离结构的尺寸。
目前的隔离技术包括浅槽隔离(STI)工艺。STI工艺包括首先在基板中蚀刻出具有预定宽度及深度的沟槽。接着以介电材料层填充沟槽。接着藉由如化学-机械抛光(CMP)工艺来平坦化介电材料。
因沟槽的宽度持续缩小,纵横比(深度除以宽度)持续上升。关于制造高纵横比沟槽的挑战之一是避免在沟槽中沉积介电材料期间形成空隙。
为填充沟槽,可沉积一层介电材料,如氧化硅。介电层典型覆盖沟槽的场域,也覆盖沟槽的侧壁与底部。若沟槽既宽且浅,则相对容易完全填充沟槽。然而,当纵横比增加,沟槽的开口会变得更容易“封闭(pinch off)”,而在沟槽内形成空隙。
为了降低在沟槽内形成空隙或在沟槽内形成缝隙的可能性,已发展出许多不同的工艺技术来以无缺陷地用介电材料填充沟槽。举例而言,常规的喷洒涂布工艺可用来以液体前体填充沟槽,以在沟槽中形成无空隙或无缝隙介电材料。在液体前体填充沟槽后,通常会进行高温退火工艺以将湿气赶出液体前体,藉以在沟槽内形成固相介电材料。然而,因用来填充沟槽的液体前体可能包括污染物,此沉积技术常会遭遇高膜杂质的问题,液体前体中的污染物可能不利地使形成的介电材料的电特性恶化,而不利地影响装置效能。
在另一示例中,可使用高纵横比工艺(high aspect ratio process,HARP)来形成介电材料。此类工艺包括在沉积工艺的不同阶段以不同速率沉积介电材料。可使用较低的沉积速率在沟槽中形成更共形的介电层,并可使用较高的沉积速率在沟槽上方形成主体(bulk)介电层。然而,HARP工艺通常具有低产量,导致高制造成本。
因此,有需要改进生产高纵横比的隔离结构的工艺及设备。
【发明内容】
本发明的实施例描述在基板上形成经氧化介电材料的工艺。该工艺提供以经氧化介电材料对沟槽进行本质上无孔或无缝的间隙填充。可应用该工艺来形成多种绝缘结构及装置。举例而言,可使用该工艺来形成浅槽隔离(STI)装置以及层级间绝缘层(interlevel insulating layer),及其他结构。在一实施例中,在基板上形成经氧化介电材料的方法包括藉由流动式CVD工艺在基板上形成介电材料、固化设置于基板上的介电材料、在设置在基板上的介电材料上进行湿法氧化工艺,以及在基板上形成经氧化介电材料。
在另一实施例中,在基板上形成经氧化介电材料的方法包括藉由流动式CVD工艺在基板上形成含硅层,其中含硅层具有化学式SixNyHz、固化设置在基板上的含硅层、将含硅层浸没入具有氧源的处理溶液,其中处理溶液中的氧源以硅氧键至少部分取代含硅层中的硅氮或硅氢键、以及在基板上形成经氧化含硅层。
在再一实施例中,在基板上形成经氧化介电材料的方法包括藉由流动式CVD工艺在基板上形成含硅层,其中藉由暴露至含有三硅烷胺(trisilylamine,TSA)以及NH3的气体混合物来形成含硅层、固化设置在基板上的含硅层、以具有配置在去离子(DI)水中的O3的处理溶液湿润含硅层,其中配置在去离子(DI)水中的臭氧具有的浓度介于约每公升(L)1毫克(mg)和约每公升1000毫克(mg)之间、以及在基板上形成经氧化含硅层。
【图示简单说明】
为使本发明的上述特征得以更详细被了解,已参照实施例而更具体说明以上所简述的发明,其中部分实施例例示在随附图示中。然而,需注意的是,所随附的图示仅为说明本发明的典型实施例,而非用于限制本发明的范畴,本发明亦允许其他等效实施例。尽管如此,可藉由考量以下详述结合随附图示而迅速地了解本发明的教导内容,在随附图示中:
图1为处理工具的实施例的顶部平面图;
图2为处理腔室的实施例的概要剖面图;
图3为工艺流程图,该工艺流程图图解并入本发明的实施例的方法;
图4A至4E为具有介电材料的基板的概要剖面图,介电材料形成在基板上所定义的沟槽内;以及
图5为湿法工艺贮存槽的概要剖面图,可根据本发明的实施例使用该贮存槽。
为方便了解,在可能情况下已使用相同元件符号以指定诸图所共有的相同元件。可考虑将一个实施例所揭露的元件有利地应用于其他实施例中,而无需特别叙述。
【详细描述】
图1为根据所公开的实施例的沉积、烘烤及固化腔室的处理工具100的实施例的顶部平面图。在处理工具100中,一对前开式统集盒(front opening unifiedpod,FOUP)102供应基板,基板(如,直径300mm的晶片)由机器手臂104承接并置入负载锁定腔室106。第二机器手臂110设置在转移腔室112中,而转移腔室112耦接负载锁定腔室106。使用第二机器手臂110自负载锁定腔室106将基板输送至与转移腔室112耦接的处理腔室108a至108f。
处理腔室108a-f可包括一或多个系统组件用于在基板晶片上沉积、退火、固化及/或蚀刻流动式介电膜。在一配置中,可使用两对处理腔室(例如,108c-d以及108e-f)以在基板上沉积流动式介电材料,并可使用第三对处理腔室(例如,108a-b)以退火/固化所沉积的介电材料。在另一配置中,可配置相同的两对处理腔室(例如,108c-d以及108e-f)以均在基板上沉积并退火/固化流动式介电膜,同时使用第三对腔室(例如,108a-b)来进行沉积的膜的UV或E-光束固化。在再一配置中,可配置全部三对腔室(例如,108a-f)以在基板上沉积并固化流动式介电材料。
在又一配置中,可用两对处理腔室(例如,108c-d以及108e-f)来均进行流动式介电材料的沉积及UV或E-光束固化,同时使用第三对处理腔室(例如,108a-b)来退火介电材料。应可明白,也可考虑藉由处理工具100额外配置用于流动式介电膜的沉积、退火及固化腔室。
此外,处理腔室108a-f中的一或多个可配置成湿法处理/氧化腔室。这些处理腔室包括在含有处理溶液的环境中湿法处理流动式介电材料。因此,处理工具100的实施例可包括湿法处理/氧化腔室108a-b以及退火处理腔室108c-d,以在沉积的介电材料上进行湿法及干式工艺。
图2为具有分隔的等离子体产生区域的流动式化学气相沉积(即,工艺)腔室200的实施例的剖面图。工艺腔室200可为处理腔室108a-f中的任意者,该任意处理腔室经配置以至少用来在基板上沉积流动式介电材料。在膜沉积(氧化硅、氮化硅、氮氧化硅或氧碳化硅(silicon oxycarbide)沉积)期间,可通过气体入口配件205将工艺气体流入第一等离子体区域215。可在进入第一等离子体区域215之前,在远端等离子体系统(remote plasma system,RPS)201内激发工艺气体。工艺腔室200包括盖体212以及喷洒头225。盖体212被描述为带有所施加的AC电压源,且喷洒头225接地,与第一等离子体区域215中的等离子体产生一致。绝缘环220定位在盖体212与喷洒头225之间,使电容式耦合等离子体(capacitively coupled plasma,CCP)能形成在第一等离子体区域215中。所示的盖体212以及喷洒头225之间具有绝缘环220,绝缘环220容许AC电位相对于喷洒头225施加至盖体212。
盖体212可为用于与处理腔室一起使用的双源(dual-source)盖体。两个不同的气体供应通道在气体入口配件205内可见。第一通道202携带通过远端等离子体系统(RPS)201的气体,而第二通道204绕过RPS 201。第一通道202可供工艺气体使用,且第二通道204可供处理气体使用。流入第一等离子体区域215的气体可被档板206分散。
诸如前体的流体可通过喷洒头225流入工艺腔室200的第二等离子体区域233。衍生自第一等离子体区域215中的前体的经激发物质通过喷洒头225中的通孔214运动,并与从喷洒头225流入第二等离子体区域233内的前体反应。仅有少量或没有等离子体存在第二等离子体区域233中。前体的经激发衍生物在第二等离子体区域233中结合,以在基板上形成流动式介电材料。当介电材料生长时,越近加入的材料较下方材料具有越高的流动性。当有机内容物藉由蒸发而减少时,流动性也随之降低。可使用此技术由流动式介电材料来填充间隙,而不至于在沉积完成后在介电材料内留下传统密度的有机内容物。仍可使用固化步骤以进一步降低或移除沉积膜内的有机内容物。
单独在第一等离子体区域215中或与远端等离子体系统(RPS)201结合来激发前体提供了数个优点。因第一等离子体区域215中的等离子体之故,可增加第二等离子体区域233内衍生自前体的经激发物质的浓度。此增加可由第一等离子体区域215中的等离子体的位置产生。第二等离子体区域233的位置距离第一等离子体区域215比距离远端等离子体系统(RPS)201更近,因而留下较少时间给经激发的物质通过与其他气体分子、腔室壁及喷洒头的表面碰撞而离开激发态。
也可在第二等离子体区域233内增加衍生自前体的经激发物质的浓度一致性。这可能起因于第一等离子体区域215的形状,第一等离子体区域215的该形状更类似于第二等离子体区域233的形状。相较于通过接近喷洒头225中央的通孔214的物质,在远端等离子体系统(RPS)201中产生的经激发物质为了通过接近喷洒头225边缘的通孔214而运动了更长的距离。更长的距离造成经激发物质的激发减少,且,例如,可能造成接近基板边缘处的较慢成长速率。在第一等离子体区域215中激发前体减轻了这样的差异性。
除了前体之外,可针对多种目的在多个时间导入其他气体。可导入处理气体以自腔室壁、基板、经沉积的膜及/或沉积期间的膜移除不需要的物质。处理气体可包含至少一种气体,该至少一种气体选自包含H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2及水蒸气的群组。可在等离子体中激发处理气体,并接着用于自经沉积的膜减少或移除残留的有机内容物。在其他实施例中,可不与等离子体一起使用处理气体。当处理气体包括水蒸气时,可使用质量流量计(MFM)及喷射阀,或藉由其他合适的水蒸气产生器来实现传递。
在此实施例中,可藉由导入介电材料前体,例如,含硅前体,并在第二等离子体区域233中反应处理前体以沉积介电层。介电材料前体的示例为含硅前体,包括硅烷、二硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)、四甲基-二硅氧烷(tetramethyl-disiloxane,TMDSO)、四甲基环四硅氧烷(tetramethylcyclotetrasiloxane,TMCTS)、四甲基-二乙氧基-二硅氧烷(tetramethyl-diethoxyl-disiloxane,TMDDSO)、二甲基-二甲氧基-硅烷(dimethyl-dimethoxyl-silane,DMDMS)或上述材料的组合。用于氮化硅的沉积的额外前体包括含SixNyHz前体(如硅胺,及硅胺衍生物,包括三硅烷胺(TSA)以及二硅烷胺(disilylamine,DSA))、含SixNyHzOzz前体、含SixNyHzClzz前体、或上述材料的组合。
处理前体包括含氢化合物、含氧化合物、含氮化合物、或上述材料的组合。合适的处理前体的示例包括选自由包含H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、NxHy化合物(包括N2H4蒸气)、NO、N2O、NO2、水蒸气、或上述材料的组合所组成的群组中的一或多种化合物。处理前体可被等离子体激发,如在RPS单元中,以包括含N*和/或含H*和/或含O*的自由基,或等离子体,例如,NH3、NH2*、NH*、N*、H*、O*、N*O*、或上述材料的组合。或者,工艺前体可包括本文所述的前体中的一或多种。
处理前体可为在第一等离子体区域215中被激发的等离子体,以产生工艺气体等离子体以及自由基,包括含N*和/或含H*和/或含O*的自由基,或等离子体,例如,NH3、NH2*、NH*、N*、H*、O*、N*O*或上述材料的组合。或者,处理前体可能在通过远端等离子体系统后而在导入第一等离子体区域215前便已经处于等离子体态。
接着将被激发的处理前体290传递至第二等离子体区域233以与通过通孔214的前体反应。一旦处在处理容积中,处理前体可混合并反应以沉积介电材料。
在一实施例中,在工艺腔室200中进行的流动式CVD工艺可沉积介电材料为聚硅氮烷(polysilazane)系含硅膜(类PSZ膜),该聚硅氮烷系含硅膜可回流并可填充到沉积有聚硅氮烷系含硅膜的基板中所界定的沟槽、特征结构、孔洞或其他通孔内。
除了介电材料前体以及处理前体之外,可针对多种目的在多个时间导入其他气体。可导入处理气体以自腔室壁、基板、经沉积的膜及/或沉积期间的膜移除不需要的物质,如氢、碳及氟。处理前体及/或处理气体可包含至少一种气体,该至少一种气体选自包含H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、N2H4蒸气、NO、N2O、NO2、水蒸气或前述材料的组合的群组。可在等离子体中激发处理气体,并接着用于自经沉积的膜减少或移除残留的有机内容物。在其他被揭露的实施例中,可不与等离子体一起使用处理气体。当处理气体包括水蒸气时,可使用质量流量计(MFM)及喷射阀,或藉由商业上可获得的水蒸气产生器来实现传递。可经由RPS单元或通过绕过RPS单元将处理气体导入第一处理区域,且可进一步在第一等离子体区域中激发处理气体。
氮化硅材料包括氮化硅,SixNy、含氢氮化硅,SixNyHz、氮氧化硅,包括含氢氮氧化硅,SixNyHzOzz,以及含卤氮化硅,包括氯化氮化硅,SixNyHzClzz。可接着将经沉积的介电材料转变为类氧化硅材料。
处理腔室、工艺以及工具被更全面地描述在2008年9月15日所提交的专利申请第12/210,940号,以及在2008年9月15日所提交的专利申请第12/210,982号中,上述两项专利申请以全文参照方式并入本文。
图3为工艺300的实施例,该实施例可在如图2所例示的腔室200或其他适合的处理腔室中执行。图4A至4E为基板的一部份的概要剖面图,对应工艺300的多个阶段。虽然所例示的工艺300是用于在基板中所界定的沟槽中形成介电绝缘材料,例如图4A至4E描绘的浅槽隔离(STI)结构制造工艺,但工艺300也可有益于在基板上形成其他结构,如层间介电(interlayer dielectric,ILD)结构。
工艺300始于步骤302,将如图4A所描绘的基板400转移(即,提供)至沉积工艺腔室,如图2所描绘的流动式化学气相沉积(CVD)腔室200。在实施例中,基板400可为硅半导体基板,硅半导体基板具有形成在该硅半导体基板上的一层或多层,用以形成结构,如形成在基板400上的浅槽隔离(STI)结构404。在另一实施例中,基板400可为硅半导体基板,该硅半导体基板具有多重层,例如,膜堆迭,用以形成不同的图案及/或特征结构。基板400可以是如结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片以及图案化或未图案化的晶片绝缘层上硅晶(silicon oninsulator,SOI)、碳掺杂的氧化硅、氮化硅、经掺杂的硅、锗、砷化镓、玻璃、蓝宝石、设置在硅上的金属层等等材料。基板400可具有多种尺寸,如直径200mm或300mm的晶片,也可以是矩形或方形面板。在本发明描绘的实施例中,基板400可为硅半导体基板。
在一实施例中,如图4A所描绘,层402设置在基板400上并适于制作STI结构404。层402可为硅膜,例如,覆盖裸硅膜(blanket bare silicon film)。在不存在层402的实施例中,在层402上进行的工艺可转而在基板400上进行。
在一实施例中,层402可经蚀刻或图案化以在层402内形成沟槽406,用以制造浅槽隔离(STI)结构,该浅槽隔离结构可用于集成电路中将器件彼此电气隔离。此外,可相对晶体管栅构造以及邻近的晶体管源/漏区域与基板400一起形成STI结构404。形成在层402内的沟槽406可用于器件隔离。
在步骤304,介电材料408沉积在基板400上而填充界定在层402内的沟槽406,如图4B所示。如参考图2的前文所描述,可藉由工艺腔室200中所进行的流动式化学气相沉积工艺来沉积介电材料408。在一实施例中,介电材料408为含硅材料,该含硅材料藉由供应进入工艺腔室200的气体混合物来沉积。
在一实施例中,供应进入工艺腔室200用以形成介电材料408的气体混合物可包括介电材料前体以及处理前体。介电材料前体的合适示例包括硅烷、二硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、八甲基环四硅氧烷(OMCTS)、四甲基-二硅氧烷(TMDSO)、四甲基环四硅氧烷(TMCTS)、四甲基-二乙氧基-二硅氧烷(TMDDSO)、二甲基-二甲氧基-硅烷(DMDMS)或上述材料的组合。供氮化硅的沉积所用的额外前体包括含SixNyHz前体(如硅胺、及硅胺衍生物,包括三硅烷胺(TSA)以及二硅烷胺(DSA))、含SixNyHzOzz前体、含SixNyHzClzz前体,或上述材料的组合。在一示例性的实施例中,用来沉积介电材料408的含硅前体为三硅烷胺(TSA)。此外,处理前体的合适示例可包括含氮前体。含氮前体的合适示例包括H2/N2混合物、N2、NH3、NH4OH、N2、NxHy化合物包括N2H4蒸气、NO、N2O、NO2等等。进一步,处理前体也可包括含氢化合物、含氧化合物或上述材料的组合。合适的处理前体的示例包括一或多种化合物,该一或多种化合物选自包含H2、H2/N2混合物、O3、O2、H2O2、水蒸气或上述材料的组合的群组。处理前体可被等离子体激发,如在RPS单元中,以包括含N*和/或含H*和/或O*的自由基、或等离子体,例如,NH3、NH2*、NH*、N*、H*、O*、N*O*、或上述材料的组合。处理前体可依需求选择性地包括一或多种前体。在一实施例中,用以沉积介电材料408的处理前体为NH3气体。
在一实施例中,沉积工艺期间的基板温度维持于预定范围。在一实施例中,基板温度维持在低于约200摄氏度,如低于100摄氏度,以容许形成在基板上的介电材料408可流动以回流并填充在沟槽406内。相信,相对低的基板温度,如低于100摄氏度,可协助将初始形成在基板表面上的膜维持在类流体可流动态,以便保持形成在所述初始形成在基板表面上的膜上的产物膜的流动性以及粘性。当形成在基板上的产物膜具有某种程度的流动性以及粘性,在后续的热及湿法工艺后,可以不同官能基或键结构变型、转换、取代膜的键结构,或将膜的键结构变型、转换、取代为不同官能基或键结构。在一实施例中,工艺腔室中的基板温度维持在介于约室温至约200摄氏度的范围内,如约低于100摄氏度,例如介于约30摄氏度与约80摄氏度之间。
可以介于约1sccm与约5000sccm之间的流速将介电材料前体供应至处理腔室内。可以介于约1sccm与约1000sccm之间的流速将处理前体供应至处理腔室内。或者,在处理期间供应的气体混合物也可被控制在介于约0.1与约100之间的介电材料前体对处理前体的流速比例。工艺压力维持在介于约0.10Torr至约10Torr之间,例如,约0.1Torr与约1Torr之间,如约0.5Torr与约0.7Torr之间。
提供至工艺腔室200的气体混合物内也可包括一或多种惰性气体。惰性气体可包括,但不限于,稀有气体如Ar、He、Xe等等。可以介于约1sccm与约50000sccm之间的流量比将惰性气体供应至处理腔室。
施加RF功率以维持沉积期间的等离子体。所提供的RF功率介于约100kHz与约100MHz之间,如约350kHz或约13.56MHz。或者,可利用VHF功率来提供高达约27MHz与约200MHz之间的频率。在一实施例中,可施加约1000瓦与约10000瓦之间的RF功率。可根据基板尺寸控制基板与喷洒头225的间距。在一实施例中,处理间距控制在介于约100密耳与约5英寸之间。
在一实施例中,形成在基板400上的介电材料408为含硅材料,该含硅材料具有氮或氢原子,如形成在含硅材料中的SixNyHz或-Si-NH键,其中x为大于1至200之间的整数,y、z为自0至400的整数。由于沉积期间供应至气体混合物中的处理前体可能提供氮及氢物质,因此形成在介电材料408中的硅原子可能含有-Si-N-H-、-Si-N-或-Si-H-或其他不同键。藉由后续的热及湿法工艺,将进而以Si-O-Si键取代Si-N、N-H、Si-H键,以形成如氧化硅层的介电材料408。
在步骤306,在基板400上形成介电材料408之后,基板400经固化及/或热处理以烘烤介电材料408。固化/烘烤工艺自沉积的介电材料408移除湿气,以便形成固相介电材料408,如图4C所示。一旦介电材料408经固化,湿气及溶剂被赶出沉积的介电材料408,造成沉积的介电材料408在基板400内界定的沟槽406内回填并回流,从而在基板400上形成本质上平坦的表面410。在一实施例中,可在热板、烤箱、经加热腔室或可提供足够热至基板400的合适工具上执行步骤306所进行的固化工艺。
在一实施例中,固化温度可控制在低于100摄氏度,如低于80摄氏度,例如约50摄氏度。固化时间可控制在介于约1秒与约10小时之间。
在步骤308,在步骤306的固化工艺后,可在基板400上进行湿法氧化工艺。在步骤306的固化工艺后,形成在基板400上的介电材料408尚未被压缩,且Si-N、N-H、Si-H键结构仍然保留作为形成在介电材料408中的主要键结构。因此,在步骤308进行的湿法氧化工艺修改介电材料408的化学组成,以用Si—O—Si或Si—O键及网络结构取代Si-N、N-H、Si-H键,以氧化介电材料408。湿法氧化工艺增加了形成在介电材料408中的氧元素的重量百分比,同时减少了形成在介电材料408中的氮及氢元素的重量百分比。
在一实施例中,置放、浸没、浸泡、淹没、或湿法处理基板400,以在步骤308进行湿法氧化工艺。在一实施例中,藉由湿贮存槽,如湿处理贮存槽500中含有的液体水浴来润湿基板400以进行湿法氧化工艺,湿法处理贮存槽500将参照以下图5更进一步描述。请注意,也可在任何其他合适的处理容器中处理基板400,包括那些来自其他制造商的处理容器。相信,湿法氧化工艺可在介电材料408中启动氧取代工艺,从而在介电材料408内启动类连锁反应工艺,以快速地以氧键取代氮及/或氢键。在氧取代工艺后,可在经氧化介电材料412中形成强的氧化硅键(-O-Si-O),如图4E所示。
用来在基板400上进行湿法氧化工艺的湿法氧化处理溶液提供氧源以将形成在介电材料408中的氮及氢元素取代并转换为氧元素。在一实施例中,湿法氧化处理溶液可包括臭氧化去离子(DI)水,例如,臭氧包含在去离子(DI)水中。其他氧源,如O2、N2O、NO2、H2O蒸气或水汽、H2O2等等也可用在去离子(DI)水中,以进行湿法氧化工艺。进一步,适当的酸性或碱性溶液,如SC1(例如,NH4OH/H2O2的稀释液)或SC2溶液(例如,HCl/H2O2的稀释液)也可用于进行湿法氧化工艺。在一实施例中,不断地使臭氧源起泡并供应入去离子(DI)水溶液,形成饱和臭氧化去离子(DI)水来湿法氧化浸没在溶液中的基板400。在一实施例中,供湿法氧化工艺用的去离子(DI)水中的臭氧浓度被控制为介于约每公升(L)1毫克(mg)与约每公升(L)1000毫克(mg)之间。
在一实施例中,湿法氧化溶液/水浴可控制在低于100摄氏度,如低于约80摄氏度,如约低于75摄氏度。相信,相对低温的湿法氧化工艺可减少产生至基板400的热预算(thermal budget),从而减少对形成在基板400上的膜层或装置结构造成伤害的可能性。此外,还发现在高于45摄氏度下进行湿法氧化工艺可增进介电材料408的较高的氧化率,该较高的氧化率可在短时间内有效率地氧化介电材料408。在一示例中,湿法氧化工艺的温度可控制在高于40摄氏度但低于100摄氏度。举例而言,湿法氧化工艺的温度可控制在约45摄氏度。
进一步,湿法氧化处理溶液提供了低成本、快速且简单的工艺,使得整体工艺复杂度降低,同时也减少制造成本及工艺循环时间。在一实施例中,在基板400上进行湿法氧化工艺的工艺时间可控制在介于约1秒与约10小时之间。或者,可藉由观察形成在经氧化介电材料412中的键结构来控制工艺时间,直到介电材料408中的期望百分比或原子重量的硅-氮及/或硅-氢键已经被转变为硅-氧键为止。可藉由FTIR、SIMS或XPS来检视或观察经氧化介电材料412的键结构。
在一示例中,可利用FTIR测量装备来检视经氧化介电材料412的键结构。在FTIR光谱中,当在约1080nm的Si-O信号增强,同时在约835至860nm的Si-N信号和/或在约2154至2180nm的Si-H信号减弱时,至少部分的硅氮键和/或硅氢键已被取代或转变为硅-氧键。当湿法氧化工艺的时间增加时,硅氧信号随之增强。因此,当硅-氧键信号达到期望强度时,可决定合适的工艺时间。在一实施例中,当硅-氧信号的强度约超过90%的尖峰强度总合时,可终止湿法氧化工艺。在示例性的实施例中,湿法氧化工艺的工艺时间控制在介于约0.5小时与约3小时之间。
在使用SIMS或XPS测量装备的实施例中,当形成在经氧化介电材料412中的氧元素以原子重量计大于50%时,可终止湿法氧化工艺。
在步骤310,经氧化介电材料412暴露至热退火工艺,以形成经退火介电材料414,如图4E所示。步骤310可在腔室中进行的合适热退火腔室的示例为可获得自Applied Materials,Inc.的
Figure BDA00001742545800111
RTP腔室,以及其他腔室。请注意,也可应用其他型号的退火腔室或RTP腔室来进行步骤310所述的热退火工艺,包括那些来自其他制造商的腔室。
在步骤310的一实施例中,可加热基板400至自约100摄氏度至约1000摄氏度之间。在一实施例中,热退火工艺的持续期间可自约1秒至约180秒,例如,约2秒至约60秒,如约5秒至约30秒。至少一种退火气体被供应至热退火工艺腔室内。退火气体的示例包括氧气(O2)、臭氧(O3)、原子氧(O)、水(H2O)、一氧化氮(NO)、一氧化二氮(N2O)、二氧化氮(NO2)、五氧化二氮(N2O5)、氮气(N2)、氨(NH3)、联胺(N2H4)、上述材料的衍生物或上述材料的组合。退火气体可含有氮以及至少一种含氧气体,如氧。腔室可具有自约0.1Torr至约100Torr的压力,例如,约0.1至约50Torr,如0.5Torr。在热退火工艺的一示例中,在氧气氛内加热基板400至约600摄氏度达约60秒。在另一示例中,在退火工艺期间,在含有等体积的氮及氧的气氛内加热基板400至约1000摄氏度达约10秒至约60秒。
相信,在步骤310进行的热退火工艺可有助于增密膜结构,并修改形成在基板400上的介电材料414的化学结构,从而在生成的经退火介电材料414中提供更强的键结构以及硅氧键。进一步,热退火工艺也可有助于将悬空键或弱硅-氢键赶出介电材料414外,藉以减少膜渗漏并增进膜品质。
图5描绘湿法处理贮存槽500的概要剖面图,可在该湿法处理贮存槽500中进行在步骤308所描述的湿法氧化工艺。湿法处理贮存槽500通常包括贮存槽502,贮存槽502具有可包含湿法氧化处理溶液504的内容积,如参照步骤308所述。请注意,也可在贮存槽502中使用其他合适的液体、流体、水浴等等,以进行湿法氧化工艺。贮存槽502可具有设置在贮存槽502中的支架508,以支撑定位在贮存槽502中进行处理的多个或一批次的基板400。或者,可以任何其他适当方式将多个基板400固定或定位在贮存槽502中,如插入或支撑在卡匣内,卡匣可夹持并固定基板400在期望位置。请注意,也可视需求利用贮存槽502对单一基板进行湿法氧化工艺。
在设置在贮存槽502中的处理溶液504中浸没、淹没、置放、润湿、处理、喷洒或浸泡多个基板400以进行处理。贮存槽502可包括第一供应源512以及第二供应源514,该第一供应源512以及第二供应源514可通过入口埠510供应处理液体或处理溶液进入贮存槽502。在一实施例中,第一供应源512可供应去离子(DI)水进入贮存槽502,且第二供应源514可供应含氧源进入贮存槽502。如上所述,可自第二供应源514供应至贮存槽502的合适的含氧源可包括O3、O2、N2O、NO2、H2O蒸气或水汽、H2O2、上述材料的组合等等。或者,第二供应源514也可供应其他适当的酸性或碱性溶液,如SC1(例如,NH4OH/H2O2的稀释液)或SC2溶液(例如,HCl/H2O2的稀释液)进入贮存槽502,以进行湿法氧化工艺。在所描绘的一个示例性实施例中,自第二供应源514所供应的含氧源为臭氧,可使臭氧不断地起泡或供应进入贮存槽502、与自第一供应源512所供应的去离子(DI)水混合以在贮存槽502中形成处理溶液504,用以在浸没在贮存槽502中的基板400上进行湿法氧化工艺。
因此,沉积介电层的方法包含在含硅介电材料上进行湿法氧化工艺。该方法特别适于沉积填充基板内所界定的沟槽或孔洞的介电材料。湿法氧化工艺有利地将形成在含硅介电材料中的硅-氮及/或硅-氢键取代并转换为硅-氧键,从而提供具强键结构及高膜品质的期望氧化硅层。
尽管以上内容系针对本发明的实施例,但是可在不脱离本发明的基本范畴的情况下设计本发明的其他及进一步的实施例,且本发明的范畴由以下权利要求决定。

Claims (15)

1.一种在基板上形成经氧化介电材料的方法,包含下列步骤:
藉由流动式CVD工艺在基板上形成介电材料;
固化设置在所述基板上的所述介电材料;
在设置在所述基板上的所述介电材料上进行湿法氧化工艺;以及
在所述基板上形成经氧化介电材料。
2.如权利要求1所述的方法,其特征在于,藉由流动式CVD工艺在所述基板上形成所述介电材料的步骤进一步包含下列步骤:
供应包括至少含硅前体以及处理前体的气体混合物进入所述流动式CVD处理腔室,其中所述含硅前体选自由硅烷、二硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、八甲基环四硅氧烷(OMCTS)、四甲基-二硅氧烷(TMDSO)、四甲基环四硅氧烷(TMCTS)、四甲基-二乙氧基-二硅氧烷(TMDDSO)、二甲基-二甲氧基-硅烷(DMDMS)、三硅烷胺(trisilylamine,TSA)、二硅烷胺(disilylamine,DSA)、含SixNyHzOzz前体、含SixNyHzClzz前体、及上述材料的组合所组成的群组。
3.如权利要求2所述的方法,其特征在于,所述处理前体选自由H2/N2混合物、N2、NH3、NH4OH、N2、N2H4蒸气、NO、N2O、NO2、H2、O3、O2、H2O2、水蒸气、及上述材料的组合所组成的群组。
4.如权利要求2所述的方法,其特征在于,所述含硅前体是三硅烷胺(TSA),且所述处理前体是NH3
5.如权利要求2所述的方法,其特征在于,所述基板温度被控制为低于100摄氏度。
6.如权利要求1所述的方法,其特征在于,在所述介电材料上进行所述湿法氧化工艺的步骤进一步包含下列步骤:
以含有氧源的处理溶液湿润所述基板,其中所述氧源选自由O3、O2、N2O、NO2、H2O蒸气或水汽、H2O2、NH4OH/H2O2溶液、HCl/H2O2溶液的稀释液、及上述材料的组合所组成的群组。
7.如权利要求6所述的方法,其特征在于,所述氧源是O3
8.如权利要求6所述的方法,其特征在于,所述处理溶液是臭氧化去离子(DI)水,所述臭氧化去离子(DI)水具有介于约每公升(L)1毫克(mg)与约每公升(L)1000毫克(mg)之间的臭氧浓度。
9.如权利要求6所述的方法,其特征在于,所述处理溶液具有受控制为大于40摄氏度但小于100摄氏度的温度。
10.如权利要求1所述的方法,其特征在于,在所述介电材料上进行所述湿法氧化工艺的步骤进一步包含下列步骤:
以硅-氧键取代所述介电材料中的硅-氮和/或硅-氢键。
11.如权利要求1所述的方法,更包含下列步骤:
在设置在所述基板上的所述经氧化介电材料上进行一热退火工艺。
12.如权利要求11所述的方法,其特征在于,所述热退火工艺进一步包含下列步骤:
退火所述基板至介于约100摄氏度与约1000摄氏度之间的温度。
13.如权利要求1所述的方法,其特征在于,所述经氧化介电材料是氧化硅层。
14.一种在基板上形成经氧化介电材料的方法,包含下列步骤:
藉由流动式CVD工艺在基板上形成含硅层,其中所述含硅层具有化学式SixNyHz
固化设置在所述基板上的所述含硅层;
将所述含硅层浸没在具有氧源的处理溶液内,其中所述处理溶液中的所述氧源以硅氧键至少部分取代所述含硅层中的硅氮或硅氢键;以及
在所述基板上形成经氧化含硅层。
15.一种在基板上形成经氧化介电材料的方法,包含下列步骤:
藉由流动式CVD工艺在基板上形成含硅层,其中所述含硅层藉由暴露至含三硅烷胺(TSA)及NH3的气体混合物而形成;
固化设置在所述基板上的所述含硅层;
以处理溶液湿润所述含硅层,所述处理溶液具有配置在去离子(DI)水中的O3,其中配置在所述去离子(DI)水中的所述臭氧具有介于约每公升(L)1毫克(mg)与约每公升(L)1毫克(mg)之间的浓度;以及
在所述基板上形成经氧化含硅层。
CN2010800557918A 2009-12-21 2010-11-04 在流动式cvd工艺所形成的介电材料上进行的湿法氧化工艺 Pending CN102652355A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/643,196 US20110151677A1 (en) 2009-12-21 2009-12-21 Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US12/643,196 2009-12-21
PCT/US2010/055402 WO2011084223A2 (en) 2009-12-21 2010-11-04 Wet oxidation process performed on a dielectric material formed from a flowable cvd process

Publications (1)

Publication Number Publication Date
CN102652355A true CN102652355A (zh) 2012-08-29

Family

ID=44151713

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800557918A Pending CN102652355A (zh) 2009-12-21 2010-11-04 在流动式cvd工艺所形成的介电材料上进行的湿法氧化工艺

Country Status (7)

Country Link
US (2) US20110151677A1 (zh)
JP (1) JP2013515355A (zh)
KR (1) KR20120123668A (zh)
CN (1) CN102652355A (zh)
SG (1) SG181858A1 (zh)
TW (1) TW201125037A (zh)
WO (1) WO2011084223A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103996649A (zh) * 2014-06-09 2014-08-20 上海华力微电子有限公司 一种提高浅沟槽隔离介电质薄膜填充能力的方法
CN105225951A (zh) * 2014-05-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN105489484A (zh) * 2014-10-13 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105845725A (zh) * 2015-01-12 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN106887430A (zh) * 2015-12-10 2017-06-23 中芯国际集成电路制造(北京)有限公司 Nand闪存的形成方法
CN107429391A (zh) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN110491788A (zh) * 2019-08-29 2019-11-22 上海华力微电子有限公司 提高薄膜均匀性的方法
CN110676154A (zh) * 2019-09-26 2020-01-10 上海华力微电子有限公司 一种提高聚硅氮烷膜质转换效率的方法

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20130217241A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR20130042304A (ko) * 2011-10-18 2013-04-26 삼성전자주식회사 반도체 소자의 제조 방법
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
JP5981206B2 (ja) * 2012-04-20 2016-08-31 株式会社東芝 半導体装置の製造方法および半導体製造装置
GB201209693D0 (en) * 2012-05-31 2012-07-18 Dow Corning Silicon wafer coated with a passivation layer
US8735252B2 (en) * 2012-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103578936B (zh) * 2012-07-26 2016-04-06 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8937011B2 (en) 2012-12-18 2015-01-20 Sandisk 3D Llc Method of forming crack free gap fill
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
TWI520264B (zh) 2013-02-06 2016-02-01 華亞科技股份有限公司 隔離結構之製作方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140272684A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150064929A1 (en) * 2013-09-05 2015-03-05 United Microelectronics Corp. Method of gap filling
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9543141B2 (en) * 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9831098B2 (en) * 2015-07-13 2017-11-28 GlobalFoundries, Inc. Methods for fabricating integrated circuits using flowable chemical vapor deposition techniques with low-temperature thermal annealing
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017070192A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
IL260069B2 (en) * 2015-12-21 2024-02-01 Versum Mat Us Llc Preparations and methods using them for depositing a silicon-containing layer
US9991154B2 (en) * 2016-02-25 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a fin field effect transistor and a shallow trench isolation
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107706112B (zh) * 2016-08-09 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN107706111B (zh) * 2016-08-09 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US11189487B2 (en) 2016-09-30 2021-11-30 Intel Corporation Method and apparatus for high pressure cure of flowable dielectric films
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
KR102659317B1 (ko) * 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
CN111902359A (zh) 2018-02-21 2020-11-06 乔治洛德方法研究和开发液化空气有限公司 全氢聚硅氮烷组合物和用于使用其形成氧化物膜的方法
SG11202006237RA (en) 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20220235456A1 (en) * 2019-07-18 2022-07-28 Tokyo Electron Limited Method for forming insulation film
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
US20210233764A1 (en) * 2020-01-28 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Forming Thereof
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210280451A1 (en) * 2020-03-04 2021-09-09 Applied Materials, Inc. Low temperature steam free oxide gapfill
CN116490640A (zh) * 2020-10-20 2023-07-25 弗萨姆材料美国有限责任公司 烷氧基二硅氧烷和由其制备的致密有机硅膜
US11659771B2 (en) * 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US20230238271A1 (en) 2022-01-26 2023-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070281496A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US696343A (en) * 1901-01-15 1902-03-25 George Kelly Composite material.
JPH06244174A (ja) * 1993-08-04 1994-09-02 Tadahiro Omi 絶縁酸化膜の形成方法
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6596343B1 (en) * 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
JP2005166700A (ja) * 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP4899327B2 (ja) 2005-03-15 2012-03-21 カシオ計算機株式会社 シフトレジスタ回路及びその駆動制御方法並びに駆動制御装置
JP4331133B2 (ja) * 2005-03-25 2009-09-16 株式会社東芝 半導体装置の製造方法
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP2007142024A (ja) * 2005-11-16 2007-06-07 Fujitsu Ltd 半導体装置の製造方法
US7589336B2 (en) * 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2007140424A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070295012A1 (en) * 2006-06-26 2007-12-27 Applied Materials, Inc. Nitrogen enriched cooling air module for uv curing system
JP2009076638A (ja) * 2007-09-20 2009-04-09 Toshiba Corp 半導体装置の製造方法
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070281496A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105225951A (zh) * 2014-05-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN103996649A (zh) * 2014-06-09 2014-08-20 上海华力微电子有限公司 一种提高浅沟槽隔离介电质薄膜填充能力的方法
CN105489484A (zh) * 2014-10-13 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105489484B (zh) * 2014-10-13 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN107429391A (zh) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN105845725A (zh) * 2015-01-12 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
CN106887430A (zh) * 2015-12-10 2017-06-23 中芯国际集成电路制造(北京)有限公司 Nand闪存的形成方法
CN106887430B (zh) * 2015-12-10 2020-03-10 中芯国际集成电路制造(北京)有限公司 Nand闪存的形成方法
CN110491788A (zh) * 2019-08-29 2019-11-22 上海华力微电子有限公司 提高薄膜均匀性的方法
CN110676154A (zh) * 2019-09-26 2020-01-10 上海华力微电子有限公司 一种提高聚硅氮烷膜质转换效率的方法

Also Published As

Publication number Publication date
US9390914B2 (en) 2016-07-12
KR20120123668A (ko) 2012-11-09
JP2013515355A (ja) 2013-05-02
TW201125037A (en) 2011-07-16
US20120142198A1 (en) 2012-06-07
SG181858A1 (en) 2012-08-30
WO2011084223A3 (en) 2011-09-01
WO2011084223A2 (en) 2011-07-14
US20110151677A1 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
CN102652355A (zh) 在流动式cvd工艺所形成的介电材料上进行的湿法氧化工艺
TWI581368B (zh) 高深寬比凹槽中向上填充
US8685867B1 (en) Premetal dielectric integration process
US9847222B2 (en) Treatment for flowable dielectric deposition on substrate surfaces
KR102443554B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
US8728958B2 (en) Gap fill integration
US8466073B2 (en) Capping layer for reduced outgassing
CN103975419B (zh) 等离子体活化保形电介质膜沉积
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
US7803722B2 (en) Methods for forming a dielectric layer within trenches
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
TWI450338B (zh) 場效電晶體之閘極介電質的製造方法
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
US8765573B2 (en) Air gap formation
JP2019500756A (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
TWI517298B (zh) 經控制之氣隙的形成
TW200834681A (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
CN110431660A (zh) 表面改性以改进非晶硅间隙填充
KR20120089792A (ko) 고 종횡비 트렌치의 바텀 업 충전
US9312167B1 (en) Air-gap structure formation with ultra low-k dielectric layer on PECVD low-k chamber
TW202314807A (zh) 完全自對準通孔整合處理
WO2024006211A1 (en) Deposition and etch of silicon-containing layer
Kweskin et al. Reduced pattern loading using bis (diethylamino) silane (C 8 H 22 N 2 Si) as silicon precursor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120829