CN102176409B - 半导体制造、处理及界面系统、容器、承载器及吸附装置 - Google Patents

半导体制造、处理及界面系统、容器、承载器及吸附装置 Download PDF

Info

Publication number
CN102176409B
CN102176409B CN 201110094561 CN201110094561A CN102176409B CN 102176409 B CN102176409 B CN 102176409B CN 201110094561 CN201110094561 CN 201110094561 CN 201110094561 A CN201110094561 A CN 201110094561A CN 102176409 B CN102176409 B CN 102176409B
Authority
CN
China
Prior art keywords
carrier
environment
chamber
interface system
environment control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN 201110094561
Other languages
English (en)
Other versions
CN102176409A (zh
Inventor
萧义理
余振华
汪青蓉
何明哲
黄见翎
洪瑞斌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102176409A publication Critical patent/CN102176409A/zh
Application granted granted Critical
Publication of CN102176409B publication Critical patent/CN102176409B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一种半导体制造、处理及界面系统、容器、承载器及吸附装置。在一界面系统的实施例中,一封装室以及至少一闸门覆盖该封装室的开口。一机械系统置于该封装室中,包含至少一支架用以支撑及传送至少一基板。至少一第一管路耦接该封装室,注入气体于该封装室中。一环境控制槽以及空气循环系统耦接着该封装室。本发明可以使晶片不再暴露于不良环境之中,从而提高了晶片的制造效率及产品质量。

Description

半导体制造、处理及界面系统、容器、承载器及吸附装置
本申请是申请日为2009年6月23日、申请号为200910150594.3、发明名称为“半导体制造、处理及界面系统、容器、承载器及吸附装置”的申请的分案申请。
技术领域
本发明涉及半导体制造系统领域,尤其涉及提供受控制环境的半导体制造系统。
背景技术
集成电路技术持续地演进着,而这些演进使得装置越缩越小,借此成就了低制造成本,高装置整合密度,高速度以及高效能。随着体积缩小带来的优点,制造生产集成电路的机构也随着进化。
半导体集成电路经由集成电路制造厂中的多个处理程序制成。这些工艺牵涉到的制造工具,包含热氧化,掺杂,离子注入,快速热工艺(RTP),化学气相沉积(CVD),物理气相沉积(PVD),外延,蚀刻,以及光学蚀刻技术。在制造过程中,产品(例如半导体基板)会在制造设施中和制造工具间传送。举例来说,一般在一制造步骤完成后,该基板会被从一处理室中移出,并转送至一承载器中暂时安放,以等待后续工艺。在传送过程中,该基板可能暴露于充满各种不理想变数的环境中,以致于受到湿度,氧气浓度以及空气分子污染影响。环境暴露所造成的影响通常需要一些净化程序来修复。因此,一种可提供受控制的保护性环境的系统和方法是有必要的。
发明内容
为了解决现有技术中存在的上述问题,本发明提供一半导体制造系统,一种界面系统,一种承载器,以及应用于上述装置的方法。在一界面系统的实施例中,一封装室以及至少一闸门覆盖该封装室的开口。一机械系统置于该封装室中,包含至少一支架用以支撑及传送至少一基板。至少一第一管路耦接该封装室,注入气体于该封装室中。一环境控制槽以及空气循环系统耦接着该封装室。
另一实施例为一种承载器,用以提供受控制的保护环境。其中包含一封装室以及至少一闸门用以覆盖该封装室的开口。一机械系统置于该封装室中,包含至少一支架用以支撑至少一基板。至少一周边控制元件放置于该封装室中。
另一实施例是一种半导体制造系统,包含多个处理室。至少一界面系统包含一第一环境控制元件,至少一承载器包含一第二环境控制元件。一控制模块耦接所述多个处理室,所述至少一界面系统,以及所述至少一承载器。
进一步的实施例是一种半导体晶片容器,用以提供受控制的保护环境,该半导体晶片容器包含一特定结构,包含一吸附装置,用以减低该半导体裸晶片容器中的湿度、氧气或其他残渣;以及一个或多个元件,用以接收该特定结构中的半导体晶片。
本发明另提出一种吸附装置,包含在一晶片容器中,提供并维护该晶片容器中的一受控制的保护环境,包含与一晶片相似的形状,以及一个或多个催化剂,用以降低该晶片容器中的湿度及氧气浓度。
本发明另一实施例是一种半导体处理系统,提供一受控制的保护环境。其中包含至少一承载器,具有一个或多个半导体晶片,至少一处理室,以及至少一设备前端模块(EFEM),装载一周边检测器,用以监测该设备前端模块中的环境参数。其中该一个或多个半导体晶片在该半导体处理系统的处理过程中,被传送至所述至少一承载器所述至少一处理室,和/或所述至少一设备前端模块。
本发明可以使晶片不再暴露于不良环境之中,从而提高了晶片的制造效率及产品质量。
附图说明
图1为本发明实施例的环境控制系统的切面图;
图2为本发明实施例的环境控制系统中的界面系统的切面图;
图3A为本发明实施例的环境控制系统中的承载器的切面图;
图3B为本发明实施例的环境控制系统中的承载器的切面图;
图4为本发明实施例的环境控制系统中的回收室的切面图;
图5为本发明实施例的环境控制系统中的界面系统和承载器之间的界面的切面图;以及
图6为本发明实施例中进行环境控制方法的流程图。
上述附图中的附图标记说明如下:
100半导体制造系统    105基板
110控制器            120端口
122感应器            200处理室
300界面系统          400承载器
305墙壁              310封装室
315,320闸门         321夹具
322第一封闭装置      323管路
324第二封闭装置      325机械系统
330气体供应系统      335抽取系统
332,337管路         340加热元件
350空气循环系统      352空气对流
360环境控制槽        361吸附元件
362再生元件          364冷却元件
365排放系统          370粒子过滤器
375环境控制感应器    400承载器
405墙壁              410封装室
415闸门              420框架
425封闭装置          430基板支架
440环境控制感应器    445气体供应系统
450吸附垫            455吸附垫架
460环境控制袋        462空气循环元件
具体实施方式
下列说明提供许多不同实施例,以应用本发明的不同特征。并将以元件和安排方式的特例来简化说明本发明。这些都只是范例而并非限制。此外,本说明书会在多个例子中重复使用参考标号和字元。这些重复是为了简单清楚的说明,而并不表示多个实施例间有关联。在此所谓的连接或耦接,意指直接接触,也可以是间接接触。另外,空间上的相对描述用词,例如“下”“上”“水平”“垂直”“高”“低”“顶端”“底层”等都只是为了解释元件之间的相对关系,所以其他不同面向的装置也包含在其范例中。
参考图1到图6,一半导体制造系统100,一界面系统300,一承载器400,以及一程序500分别描述如下,用于提供一种受控制的保护环境。该半导体制造系统100,界面系统300和承载器400可能加入额外的特征,而在进一步实施例中某些特征可被取代或被移除。在程序500中可新增额外步骤于前段、中段、后段,且其中部分步骤可被取代或移除形成进一步实施例。这些半导体制造系统100,界面系统300,承载器400和程序500的实施例控管环境条件而提供了一种保护性的环境,使制造中的基体免于暴露在不适当的环境条件下,例如湿度和氧气。
参照图1,该半导体制造系统100包含至少一控制器110,包含一感应器122的端口120,一处理室200,而该界面系统300包含一第一环境控制元件,该承载器400包含一第二环境控制元件。该半导体制造系统100也可包含任何数量的控制器110,端口120,界面系统300和承载器400。
该半导体制造系统100可制造并运送至少一基板105。该基板105可以是基板本体,裸晶片,半导体基板或晶片,掩模,光掩模,等离子体过滤盘,集成电路,吸附垫,任何工艺中用来制造新膜体或基层的基材,任何半导体制造系统100适用的基体,和/或上述组合。基板105进一步地可包含各种适合的形状或大小。在一实施例中,该基板105的直径可以是300mm或450mm。
控制器110可与处理室200,界面系统300以及承载器400沟通。该控制器110可进一步与端口120沟通。该控制器110包含软件,用以应用控制功能,可同时且动态地进行多路复用处理。该控制器110也可包含硬件,例如计算机,网络,和/或沟通界面,桥接于处理室200,界面系统300,承载器400,制造执行系统(MES),计算机整合制造系统(CIM),自动材料处理系统(AMHS),虚拟工厂或其他适当的系统,和/或上述的组合。
该控制器110与界面系统300和承载器400沟通,使环境条件在受到控制的前提之下进行基板105的制造与运送。该控制器110可与耦接界面系统300和承载器400的环境控制感应器(或检测器)沟通,以监控并维护各种环境条件。举例来说,控制器110监控氧气浓度和湿度,使之维持在可接受的范围。
包含感应器122的端口120,放置在接近界面系统300的外表面的一闸门处。该端口120为该承载器400提供支撑。感应器122可感测承载器400是否放置于端口120上,并确认其放置状态是否稳固。另一例子中,承载器400可直接连接至界面系统300的墙而获得支撑。在一些例子中,端口120是一种负载端口,而基板105放置其上而借此在承载器400和界面系统300之间来往搬运。
该半导体制造系统100包含一个或多个处理室200。该处理室200中可进行任何处理程序,例如化学气相沉积(CVD),物理气相沉积(PVD),蚀刻(例如等离子体蚀刻),热氧化,掺杂,离子注入,化学机械研磨(CMP),快速回火(RTA),外延,光学蚀刻,其他适当工艺,和/或上述组合。该处理室200可以是一关键程序房室,例如开口的干蚀刻室,铜晶种层形成室,铜化学机械研磨室,低介电质材料形成室,退火室(例如镍退火),多晶硅生成前的氧化层栅极预清洗室,镀镍室,等离子体干蚀刻后用的房室,或其他可形成或施加材料于该基板并与该基板产生反应的房室。处理室200进一步包含一火炉。该处理室200也可以是一搬运室,支撑室,存储室,和/或上述组合。
一般来说,在处理室200中完成一道程序后,该基板105会被移出该处理室200,在半导体制造系统100中通过界面系统300搬至承载器400暂存,以等待后续程序的进行。当基板105在半导体制造系统100中移动时,该基板105会暴露在周遭环境下,包含不良的环境条件,例如湿度,氧气浓度,及空气分子污染。这些不良环境条件会对基板105造成不良影响,致使该基板105必须经过额外的清洗程序才能获得修复。
该半导体制造系统100可包含一个或多个具有特定结构的容器,用以容纳一环境控制元件,借以显著地降低周围环境的不良条件影响。更确切地说,该半导体制造系统100中的该界面系统300包含第一环境控制元件,而该承载器400包含第二环境控制元件,可提供该半导体制造系统100的内部一个保护性的环境,其中的环境条件获得适当控制,有利于基板105的搬运、存储以及制造。该第一环境控制元件,第二环境控制元件,和/或任何其他半导体制造系统100中的环境控制元件可包含一个或多个催化剂(或吸附剂),一个或多个吸附剂(催化剂)单元,一个或多个环境控制槽,一个或多个吸附晶片,一个或多个吸附袋,和/或上述组合,将于下详述。第一环境控制元件和第二环境控制元件控制半导体制造系统100中的第一环境条件和第二环境条件。
该半导体制造系统100包含该界面系统300,该界面系统300包含该第一环境控制元件。该界面系统300在处理室200和承载器400之间搬运(或输送)该基板105的过程中提供条件控制的环境。图2为该界面系统300的实施例。该界面系统300包含多个墙壁305,一封装室310,一组闸门315和320,一机械系统325,一气体供应系统330,一抽取系统335,一加热元件340,产生空气对流352的一空气循环系统350,包含吸附元件361的一环境控制槽360,一再生元件362,一冷却元件364,一排放系统365,一粒子过滤器370,以及一环境控制感应器375(或检测器)。在实施例中,第一环境控制元件包括该空气循环系统350,提供空气对流352,该环境控制槽360,再生元件362,冷却元件364,该排放系统365,以及该粒子过滤器370。第一环境控制元件中可包含任何单独元件或多个元件的排列组合。该界面系统300可以是一种设施界面,也可以包含一设备前端模块。
所述多个墙壁305形成一封装室310,可提供保护性的环境,使环境条件受到控制,以便于运输该基板105。该组闸门315和320可以是在所述多个墙壁305的其中一片上(或在其中)。该闸门315用来使基板105在界面系统300和处理室200之间输送,而该闸门320使基板105在界面系统300和承载器400之间输送。
至少一机械系统325放置于封装室310中。该机械系统325用来使基板105通过闸门315和320,在处理室200和承载器400之间或在承载器400和界面系统之间输送。该机械系统325在界面系统300中,从承载器400将该基板105输送至各种处理室200中,或从该界面系统300中的各种处理室200中传输至该承载器400。其他实施例可能包含多个机械系统325。更进一步地说,机械系统325所输送到的位置并不在本发明的限制中。
该界面系统300中包含气体供应系统330,抽取系统335,以及加热元件340。该气体供应系统330耦接封装室310。在本发明实施例中,该气体供应系统330放置于该封装室310的底部。然而该气体供应系统330也可以放置在封装室310的顶部或侧边。该气体供应系统330通过管路332将气体注入封装室310。所提供的气体可以是任何适当的气体,例如氮(N2),氢(H2),氦(He),氩(Ar),惰性气体,其他适当气体或上述组合。在某些实施例中,该气体供应系统330也可包含一气体柜,其他任何可提供气体给封装室310的装置,或上述的组合。
抽取系统335耦接该封装室310。在本实施例中,该抽取系统335放置在该封装室310的底部。然而其他的实施例中,该抽取系统335也可放置在封装室310的顶部和/或侧边。抽取系统335通过管路337将气体从封装室310中排除(抽出)。被抽出的气体可能包含气体供应系统330注入封装室310的气体,空气,湿气,氧气,空气分子污染,或任何其他适当气体。该抽取系统335包含泵,真空系统,引擎,马达,其他可将气体自封装室310中移除的适当装置,或上述组合。
气体供应系统330和抽取系统335可单独运行或同时运行。在基板105存储于封装室310的期间,气体供应系统330和抽取系统335可有效的从封装室310中移除不良环境条件,尤其是湿气和氧气。
加热元件340进一步提供不良条件的移除机制,例如将湿气和氧气从封装室310中移除。一个或多个加热元件340可放置在封装室310上或墙壁305之内。在本发明实施例中,两个加热元件340放在封装室310中的两侧墙上。然而,加热元件340的相对位置并不限定于本实施例所述。加热元件340可增加界面系统300移除不良环境条件的效率。
一般来说,气体供应系统330和抽取系统335可有效地保护基板105不被暴露于不良环境下。然而气体供应系统330和抽取系统335原本没办法使湿度和氧气浓度维护在可接受的范围。本实施例可将湿度和氧气浓度降至1%以下,达到只有几ppm的水准。空气循环系统350提供空气对流352,该环境控制槽360包含吸附元件361,再生元件362,以及冷却元件364。该排放系统365和粒子过滤器370,可独立或搭配运行以提供第一环境控制元件,借以有效的从封装室310中移除湿气和氧气,并使其效果维持在只有几ppm的水准。
空气循环系统350包含一风扇或任何可在封装室310中产生空气对流352的装置。在本实施例中,空气循环系统350在大部分的氧气和湿气被移除后就被开启。举例来说,空气循环系统350可在湿气和氧气浓度降至1%的时候开启。空气循环系统350在封装室310中提供空气对流352并吹至环境控制槽360。该空气对流352有助于将剩余的氧气浓度和湿度降至只有几ppm的水准。
该环境控制槽360耦接至封装室310,放置在封装室310的上部。在另一实施例中,该环境控制槽360也可放置在封装室310的底部和/或侧边。该环境控制槽360包含吸附元件361。该吸附元件361包含一个或多个催化剂(或吸附剂),可大量的减低封装室310中的氧气和湿气,并进一步缩减移除氧气和湿气的时间。催化剂或吸附剂可以是任何适当的用料,例如除湿可使用Na86[(AlO2)86(SiO2)106]·*H2O,而消除氧气可使用铜或石墨催化剂。在某实施例中,催化剂包含除湿剂,除氧剂,和/或上述组合。
环境控制槽360可运行于再生模式或吸附模式。再生元件362和冷却元件364可促进这些模式的运行。冷却元件364辅助吸附模式的运行,开启之后可增强环境控制槽360中吸附元件361的吸附能力。再生元件362辅助再生模式的运行,在吸附元件361饱和之时开启。再生元件362提供热力使催化剂再生。举例来说,再生元件362可将催化剂加热至250℃。进一步地,再生元件362可释出再生气体,例如高温氮气(N2)和/或氢气(H2)以使催化剂再生。环境控制槽360进一步的耦接至排放系统365和粒子过滤器370,借其机制进一步的净化封装室310中的氧气、湿气,空气分子污染和其他会影响基板(或晶片)的不良粒子
一般而言,在某些例子中,界面系统300是预先净化过的,湿度和氧气浓度已降至1%以下(例如通过气体供应系统330)。接着该界面系统300被封闭起来,由环境控制元件(例如环境控制槽360)继续将氧气浓度和湿度维持在1%以下(基本上可继续下降至几ppm的水准)。
在本实施例中提供了一个环境控制槽360。然而多个环境控制槽360也可同时存在于界面系统300中。举例来说,该界面系统300包含两个环境控制槽360,使得再生模式和吸附模式可得以同时进行(例如,当一个吸附元件361在吸附模式时,另一吸附元件361处于再生模式)。这项功能有效的减少了保养时间。
该界面系统300进一步包含环境控制感应器375。该环境控制感应器375也可称为条件控制检测器,放置于封装室310中的多个墙壁305其中之一上或其中。环境控制感应器375可辨识封装室310中的状况,监控湿度,氧气浓度以及空气分子污染程度。在某实施例中,该环境控制感应器375可监控其他环境条件,以利于维持一保护基板105的环境。该环境控制感应器375可监看封装室310中的气压,并与控制器110沟通。该环境控制感应器375将环境条件的值告诉控制器110,而该控制器110监看封装室310中的氧气浓度,湿度,空气分子污染或其他条件,和/或上述组合是否超出允许范围,以决定是否触发再生模式及/或吸附模式,借此使环境条件维持在允许范围。举例来说,在某些实施例中,根据由环境控制感应器375接收到的信息,该控制器110判断何时该启动再生模式或吸附模式。通过与环境控制感应器375沟通,该控制器110有效地控制界面系统300中的不良环境条件,例如湿度和氧气浓度,使之维持在允许范围。
在某些实施例中,该界面系统300可包含一显示装置。该显示装置可包含一液晶显示器和/或一发光二极管显示器。该界面系统300可包含一抗环境材料。举例来说,该界面系统300的一个或多个外表面和/或内表面可包含抗环境材料的镀膜。抗环境材料可包含抗湿,抗氧,抗空气分子污染,其他适当材料,或上述之组合。
该半导体制造系统100进一步包含承载器400,该承载器400中包含第二环境控制元件,在存储、运输或拿取该基板105的时候提供受控制的保护环境。图3A显示该承载器400包含第二环境控制元件的实施例。该承载器400包含基板105,多个墙壁405,一封装室410,一闸门415,一框架420,一封闭装置425,基板支架430,一环境控制感应器440,一气体供应系统445,一吸附垫450。在本实施例中,该第二环境控制元件包含吸附垫450。
该承载器400可包含一卡夹,前开口式通用容器,标准机械界面(SMIF),光掩模承载器,或任何其他可承载一个或多个基板105的承载器。多个墙壁405组成封装室410,提供受控制的保护环境,用以保存并搬运该基板105。在本实施例中,承载器400为一前开口式通用容器,而闸门415位于承载器400的一侧。该闸门415也包含一框架420,借此该闸门415可移动进出该框架420。另一实施例中,该承载器400可以是一卡夹或标准机械界面,而其闸门415置于该承载器400的底部。
一个或多个基板支架430放置于封装室410中,支撑着该基板105。该基板支架430耦接至所述多个墙壁405的至少其中之一。该基板支架430包含盘子,在墙壁405上的小突出物或小沟槽,其他可适当支撑基板105的结构,和/或上述组合。
该承载器400进一步包含该环境控制感应器440。该环境控制感应器440也可称为环境控制检测器,放置于该封装室410中所述多个墙壁405的其中之一上或其中。该环境控制感应器440监测封装室410中的状况,湿度,氧气浓度,以及空气分子污染程度。在某实施例中,该环境控制感应器440监控其他为了保护基板105而有必要被控制的环境条件。举例来说,环境控制感应器440监控封装室410中的气压。
该环境控制感应器440可与控制器110沟通。在某些实施例中,该环境控制感应器440将不良环境条件的程度传送至该控制器110。当该封装室410中的氧气浓度,湿度,空气分子污染,其他条件,和/或上述组合超出允许范围,该控制器110触发净化模式和/或吸附模式,使环境条件程度回到允许范围。举例来说,根据由环境控制感应器440所提供的信号和信息,该控制器110判断净化模式或吸附模式触发的时机。
通过与环境控制感应器440沟通,控制器110可有效的控制承载器400中的环境条件范围,使湿度,氧气浓度维持在适当范围。
承载器400进一步包含一气体供应系统445。该气体供应系统445耦接该封装室410,置于该封装室410的底部。然而其他实施例中,该气体供应系统445也可放置于封装室410的顶部和/或侧边。该气体供应系统445通过一管路注入气体至封装室410。注入的气体包含任何适当气体,例如高温氮气(N2),氢气(N2),氦气(He),氩气(Ar),惰性气体,任何适当气体,和/或上述组合。气体供应系统445可包含一气体柜,任何其他可提供气体给封装室410的装置,和/或上述组合。该承载器400可进一步包含一抽出系统,耦接该封装室410,用以从封装室410中移除(或抽出)气体。被抽出的气体包含气体供应系统445注入封装室410的气体,空气,湿气,氧气,空气分子污染,其他可能气体。抽出系统可包含一泵,一真空系统,一引擎,一马达,其他可从封装室410中抽出气体的装置,和/或上述组合。
该气体供应系统445(及该抽出系统)在基板105存储于封装室410期间,有效的从封装室410中移除不良环境条件,尤其是湿气和氧气。
该承载器400可包含一显示装置。该显示装置包含一液晶显示器和/或一发光二极管显示器。该承载器400包含一抗环境材料(屏栅)。举例来说,该承载器400的一个或多个内表面和/或外表面上包含抗环境材料镀膜。抗环境材料可包含抗湿,抗氧,抗空气分子污染,其他适当抗环境材料,和/或上述组合。
基本上,该气体供应系统445可有效地保护基板105不致于暴露于不良环境中。该气体供应系统445原本没办法使湿度和氧气浓度维护在可接受的范围。本实施例的承载器400包含第二环境控制元件,吸附垫450,可将湿度和氧气浓度降至1%至2%以下,吸附垫450有效地将氧气和不良条件从封装室410中移除,并保持其效果于低达只有几ppm的水准。举例来说承载器400事先已被净化,湿度和氧气浓度已(通过气体供应系统445)降至1%下。接着承载器400被盖在系统中,由吸附垫450进一步将湿度和氧气浓度保持在1%以下(基本上可维持在几ppm的水准)。
该第二环境控制元件可包含一个或多个吸附垫450,放置在承载器400的封装室410中。该吸附垫450可以是任何形状。在本实施例中,该吸附垫450包含一半导体晶片的形状,使基板支架430可轻易的支撑该吸附垫450,并轻易的在承载器400中置换该吸附垫450。吸附垫450可包含一直径300mm的裸晶片形状和/或450mm的裸晶片形状。吸附垫450可包含网孔结构,网状结构,多孔结构,任何其他结构材料,和/或上述组合。该吸附垫进一步包含催化剂(吸附剂),可显著地加速降低封装室410中氧气浓度和湿度。吸附垫450可吸收湿气,氧气,空气分子污染,其他不良条件,和/或上述组合。该催化剂或吸附剂包含任何适当材料。举例来说,除湿剂可以是Na86[(AlO2)86(SiO2)106]·*H2O。除氧剂可以是铜或石墨催化剂。催化剂可包含除湿剂,除氧剂,和/或上述组合。
当吸附垫450饱和时,吸附垫可在封装室410中再生,和/或被置换为新的。如果要再生封装室410中的吸附垫450,需注入再生气体至封装室410中(例如通过气体供应系统445)。再生气体可包含高温氮气,氢气,氦气,氩气,惰性气体,任何适当气质,和/或上述组合。再生气体可将催化剂再生,借此催化剂可继续执行吸附功能,移除不良条件如湿度和氧气。如果要置换吸附垫450,饱和的吸附垫450会被输送至一回收室以进行回充,而一新的不饱和的吸附垫会被输送至该承载器400中。
图4为一再生室220的实施例。该再生室220的运行基本上和半导体制造系统100中的处理室200相同。该再生室220包含多个吸附垫450和吸附垫架455。在该承载器400中,当吸附垫450饱和时,会被机械系统325通过界面系统300输送再生室220,并放置在再生室220中的吸附垫架455上以进行回充。接着,不饱和的吸附垫450从再生室220中移出,被机械系统325通过界面系统300输送至承载器400。该半导体制造系统包含两个再生室220,一个用来接收饱和的吸附垫450,一个用来提供回充完成的吸附垫450。
该再生室220可协助再生,回充,以及回收饱和的吸附垫450。该再生室220包含一气体供应系统,一抽取系统,一加热单元,任何其他适当的催化剂再生元件,和/或上述组合。该气体供应系统耦接该再生室220,将气体例如高温氮气,氢气,氦气,氩气,惰性气体,任何其他适当气体,和/或上述组合注入其中。该气体供应系统可包含一气体柜,任何其他可提供气体给再生室220的适当装置,和/或上述组合。该抽取系统耦接该再生室220,用以将气体从该再生室220中抽出。该抽出系统也同时将不良条件从该再生室220中移除,例如空气,湿气,氧气,空气分子污染,其他因子,和/或上述组合。该抽取系统包含一泵,一真空系统,一引擎,一马达,其他可将气体从再生室220中出的适当装置,和/或上述组合。一个或多个加热单元可置于再生室220中。在一实施例中,该加热单元可将回收室加热至250℃,使吸附垫450再生。
图3B为图3A中包含第二环境控制元件的承载器400的实施例。然而除了包含吸附垫450之外,该承载器400也可包含环境控制袋460和提供空气循环的空气循环元件462。在本实施例中,第二环境控制元件包含环境控制袋460和空气循环元件462。在另一实施例中,第二环境控制元件只包含环境控制袋460或空气循环元件462。另一方面,该承载器400可包含吸附垫450,环境控制袋460,和/或空气循环元件462的组合。
环境控制袋460耦接至封装室410。在本发明中,该环境控制袋460置于多个墙壁405和/或该闸门415其中之一上或其中。然而另一实施例中,该环境控制袋460也可放置于封装室410的底部,顶部,和/或其他侧边。该环境控制袋460包含催化剂(或吸付剂)。该催化剂大量且快速地减低封装室410中的氧气浓度和湿度。举例来说,为了除湿,该催化剂可以是Na86[(AlO2)86(SiO2)106]·*H2O。而消除氧气可使用铜或石墨催化剂。在某实施例中,催化剂包含除湿剂,除氧剂,和/或上述组合。
空气循环元件462中可包含一风扇或任何可在封装室410中产生空气循环并吹至环境控制袋460的装置。在本实施例中,空气循环元件462在大部分的氧气和湿气被移除后就被开启。举例来说,空气循环元件462可在湿气和氧气浓度降至1%的时候开启,有助于将剩余的氧气浓度和湿度降至只有几ppm的水准。
半导体制造系统100进一步在界面系统300和承载器400之间的界面提供受控制的环境,使基板105在界面系统300的闸门320和承载器400的闸门415之间的搬运过程中不被暴露在不良环境下。如图5所示,当界面系统300耦接至承载器400时,界面系统300和承载器400之间的不良环境条件被净化了。在本实施例中,承载器400连接至界面系统300中的多个墙壁305其中之一。在本实施例中,所述多个墙壁305包含该闸门320,至少一夹具321,一第一封闭装置322,至少一管路323,以及一第二封闭装置324。
当界面系统300和承载器400相连结之后,闸门320和闸门415的闸门耦接在一起。所述至少一夹具321将承载器400绑上界面系统300。该夹具321包含夹钳,旋钮夹钳,夹扣,或其他可将承载器400绑上界面系统300的装置。所述至少一夹具321可水平地和/或垂直地转旋或移动。进一步地,本实施可采用任何数量的夹具321。
在界面系统300和承载器400连接上之后,该第一封闭装置322将墙壁305(含闸门320)和闸门415之间的区域封闭。第二封闭装置324放置在墙壁305和闸门320之间,牢固地封闭界面系统300的墙壁305。该第一封闭装置322和第二封闭装置324可包含橡皮带,O形环,胶体,任何可封闭该区域的装置,和/或上述组合。
该管路323包含用以抽取和注入气体的管路。在本实施例中,至少一管路323绑在界面区域之内,用以移除不良环境条件,例如空气,湿气,氧气。而另外至少一管路323提供气体或混合气体至该界面区域。将该界面系统300的闸门320和承载器400的闸门415之间的区域净化,可增强对半导体制造系统100的环境控制,使基板105在从界面系统300搬运至承载器400的过程中不暴露在不良条件下。
半导体制造系统100在运输和制造基板105的时候可利用程序500。如图1到图6所示,该程序500启始于步骤502。在步骤502中,提供一处理室200,界面系统300,和/或承载器400。在步骤504中,由控制器110触发一净化模式。该净化模式包含注入任何适当气体至界面系统300和承载器400中,例如高温氮气,氢气,氦气,氩气,惰性气体,任何其他适当气体,和/或上述组合。净化模式将界面系统300或承载器400中的不良环境条件移除,包含氧气,湿气,空气分子污染,和/或上述组合。净化模式进一步包含一抽取程序,界面系统300或承载器400的墙壁加热程序,任何其他可降低不良环境条件的适当机制,和/或上述组合。
当净化模式减低界面系统300或承载器400的环境条件至一既定程度,则切换至吸附模式。该既定程度代表一预设的氧气浓度,湿度,空气分子污染,其他不良条件,和/或上述组合。举例来说,净化模式将环境条件降低至第一浓度。在步骤506中,当到达既定程度(例如第一浓度)时,该控制器110启动吸附模式。在界面系统300中触发吸附模式时,即随着启动提供空气对流352的空气循环系统350,包含再生元件362和冷却元件364的环境控制槽360,该排放系统365,粒子过滤器370,以及上述各单项或组合。在承载器400中启动吸附模式时,即启动吸附垫450,环境控制袋460,空气循环元件462,以及上述各单项或组合。该吸附模式可将环境条件降至一第二浓度,其中该第二浓度低于第一浓度。进一步地,该吸附模式将环境条件维持在该净化模式所达到的第一浓度。
当环境条件到达一允许范围时,于步骤508中,该界面系统300和承载器400,和/或处理室200中的环境条件被监控着。举例来说,控制器110持续监控该界面系统300,该承载器400,和/或该处理室200以使环境条件维持在允许范围(通过与环境控制感应器沟通(或检测器))。根据环境条件的程度,控制器110可再次触发净化模式或是吸附模式。当环境条件在可允许范围内,于步骤510,一基板(例如基板105)可被运送至界面系统300,承载器400,和/或处理室200中。在该基板位于该界面系统300,承载器400,和/或处理室200中时,环境条件仍持续地被监控着。本方法在基板105在半导体制造系统100中被处理与运送时,有效地维持一受控制的保护性环境。
虽然本发明以较佳实施例说明如上,但可以理解的是本发明的范围未必如此限定。相对的,任何基于相同精神或对本发明所属技术领域中普通技术人员为显而易见的改良皆在本发明涵盖范围内。因此专利要求范围必须以最广义的方式解读。

Claims (3)

1.一种半导体制造系统,提供受控制的保护环境,包含:
多个处理室,其中该处理室包含一再生室,该再生室包含多个吸附垫架;
至少一界面系统,其中该界面系统包含一第一环境控制元件;
至少一承载器,其中该承载器包含一第二环境控制元件,该第二环境控制元件包含一吸附垫;
一控制模块,耦接所述多个处理室,所述至少一界面系统,以及所述至少一承载器;以及
一机械系统,当该承载器的该吸附垫饱和时,将该吸附垫经由该至少一界面系统输送至该再生室,并放置在该再生室中的该吸附垫架上以进行回充,接着将不饱和的该吸附垫从该再生室中移出,并通过该至少一界面系统输送至该承载器。
2.如权利要求1所述的半导体制造系统,其中:
该第一环境控制元件和该第二环境控制元件包含多个催化剂;以及
所述多个催化剂包含一除湿剂,一除氧剂,一空气清净剂,或上述的组合。
3.如权利要求1所述的半导体制造系统,其中该第一环境控制元件包含一环境控制槽;其中该环境控制槽包含一再生元件和一冷却元件。
CN 201110094561 2008-06-23 2009-06-23 半导体制造、处理及界面系统、容器、承载器及吸附装置 Active CN102176409B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7483108P 2008-06-23 2008-06-23
US61/074,831 2008-06-23
US12/435,861 US8827695B2 (en) 2008-06-23 2009-05-05 Wafer's ambiance control
US12/435,861 2009-05-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN 200910150594 Division CN101656199B (zh) 2008-06-23 2009-06-23 半导体制造、处理及界面系统、容器、承载器及吸附装置

Publications (2)

Publication Number Publication Date
CN102176409A CN102176409A (zh) 2011-09-07
CN102176409B true CN102176409B (zh) 2013-06-12

Family

ID=41431471

Family Applications (2)

Application Number Title Priority Date Filing Date
CN 201110094561 Active CN102176409B (zh) 2008-06-23 2009-06-23 半导体制造、处理及界面系统、容器、承载器及吸附装置
CN 200910150594 Active CN101656199B (zh) 2008-06-23 2009-06-23 半导体制造、处理及界面系统、容器、承载器及吸附装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN 200910150594 Active CN101656199B (zh) 2008-06-23 2009-06-23 半导体制造、处理及界面系统、容器、承载器及吸附装置

Country Status (3)

Country Link
US (1) US8827695B2 (zh)
CN (2) CN102176409B (zh)
TW (1) TWI413202B (zh)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5410794B2 (ja) * 2009-03-17 2014-02-05 東京エレクトロン株式会社 基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9711324B2 (en) * 2012-05-31 2017-07-18 Axcelis Technologies, Inc. Inert atmospheric pressure pre-chill and post-heat
US9958424B2 (en) * 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102006878B1 (ko) * 2012-12-27 2019-08-05 삼성디스플레이 주식회사 기판 검사식각 복합장치 및 이를 구비하는 기판 처리장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
JP6060822B2 (ja) * 2013-06-13 2017-01-18 トヨタ自動車株式会社 移載装置及び生産システム
CN111508871A (zh) 2013-08-12 2020-08-07 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9368378B2 (en) * 2013-12-31 2016-06-14 Sophia Wen Semiconductor wafer cleaning system
KR102162366B1 (ko) 2014-01-21 2020-10-06 우범제 퓸 제거 장치
US9607873B2 (en) 2014-02-07 2017-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and operation method thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN111696895A (zh) * 2014-11-25 2020-09-22 应用材料公司 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6500498B2 (ja) * 2015-02-27 2019-04-17 シンフォニアテクノロジー株式会社 搬送室及び搬送室のケミカルフィルタの湿度管理方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI788061B (zh) 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US10515834B2 (en) 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107284856A (zh) * 2016-04-11 2017-10-24 深圳市辰中科技有限公司 用于精密生产的环境保持系统及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018102055A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Advanced in-situ particle detection system for semiconductor substrate processing systems
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6945357B2 (ja) * 2017-06-08 2021-10-06 東京エレクトロン株式会社 制御装置。
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107433103B (zh) * 2017-08-15 2019-11-26 武汉华星光电半导体显示技术有限公司 除雾装置、湿式处理设备及除雾方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11194259B2 (en) * 2018-08-30 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Equipment module with enhanced protection from airborne contaminants, and method of operation
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110160279B (zh) * 2019-05-24 2021-03-16 广东美的暖通设备有限公司 变频制冷系统和具有其的冷水机组
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN115428138A (zh) * 2020-03-31 2022-12-02 未来儿股份有限公司 基板收纳容器
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7277840B2 (ja) * 2020-06-16 2023-05-19 シンフォニアテクノロジー株式会社 ロードポート及びロードポートの駆動方法
JP7025670B2 (ja) * 2020-06-16 2022-02-25 シンフォニアテクノロジー株式会社 ロードポート及びefem
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022130124A (ja) * 2021-02-25 2022-09-06 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022116637A1 (de) 2022-07-04 2024-01-04 Technische Universität Dresden, Körperschaft des öffentlichen Rechts Waschbarer adsorptionsfilter zur adsorption von kontaminationen aus der luft bei der waferproduktion

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW550220B (en) * 1999-07-06 2003-09-01 Ebara Corp Substrate transport container
CN1835193A (zh) * 2005-03-17 2006-09-20 东京毅力科创株式会社 大气输送室、被处理体的处理后输送法、程序和存储介质
CN1841655A (zh) * 2005-03-31 2006-10-04 东京毅力科创株式会社 基板处理装置
CN1925903A (zh) * 2004-03-31 2007-03-07 大金工业株式会社 气体净化装置
CN100347818C (zh) * 2002-05-10 2007-11-07 近藤工业株式会社 微环境方式半导体制造装置
CN101075571A (zh) * 2006-05-17 2007-11-21 台湾积体电路制造股份有限公司 载具、半导体装置与传输接口系统

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1026549B1 (en) * 1994-04-08 2007-02-28 Canon Kabushiki Kaisha Processing system adapted for semiconductor device manufacture
JP3034765B2 (ja) 1994-07-28 2000-04-17 株式会社半導体エネルギー研究所 レーザー処理方法
US6591162B1 (en) 2000-08-15 2003-07-08 Asyst Technologies, Inc. Smart load port with integrated carrier monitoring and fab-wide carrier management system
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
KR100505061B1 (ko) * 2003-02-12 2005-08-01 삼성전자주식회사 기판 이송 모듈
JP4614416B2 (ja) * 2003-05-29 2011-01-19 日東電工株式会社 半導体チップの製造方法およびダイシング用シート貼付け装置
US6993405B2 (en) 2003-11-05 2006-01-31 International Business Machines Corporation Manufacturing product carrier environment and event monitoring system
TWI275451B (en) * 2005-01-11 2007-03-11 Asia Ic Mic Process Inc Measurement of thickness profile and elastic modulus profile of polishing pad
US8322299B2 (en) 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US7758338B2 (en) 2007-05-29 2010-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier, port apparatus and facility interface and apparatus including same
US8109407B2 (en) 2007-05-30 2012-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for storing substrates
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW550220B (en) * 1999-07-06 2003-09-01 Ebara Corp Substrate transport container
CN100347818C (zh) * 2002-05-10 2007-11-07 近藤工业株式会社 微环境方式半导体制造装置
CN1925903A (zh) * 2004-03-31 2007-03-07 大金工业株式会社 气体净化装置
CN1835193A (zh) * 2005-03-17 2006-09-20 东京毅力科创株式会社 大气输送室、被处理体的处理后输送法、程序和存储介质
CN1841655A (zh) * 2005-03-31 2006-10-04 东京毅力科创株式会社 基板处理装置
CN101075571A (zh) * 2006-05-17 2007-11-21 台湾积体电路制造股份有限公司 载具、半导体装置与传输接口系统

Also Published As

Publication number Publication date
US20090317214A1 (en) 2009-12-24
TW201001599A (en) 2010-01-01
CN101656199B (zh) 2011-07-20
CN102176409A (zh) 2011-09-07
US8827695B2 (en) 2014-09-09
CN101656199A (zh) 2010-02-24
TWI413202B (zh) 2013-10-21

Similar Documents

Publication Publication Date Title
CN102176409B (zh) 半导体制造、处理及界面系统、容器、承载器及吸附装置
TWI617368B (zh) 循環基板容器清洗系統及其方法
CN102024734B (zh) 基板处理装置及基板处理方法
US8398816B1 (en) Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
KR100785871B1 (ko) 기판 이송 장치 및 기판 이송 방법
US9159600B2 (en) Wafer transport apparatus
JP5814362B2 (ja) 移送および格納容器の処理装置
CN102810499A (zh) 处理基材的设备和方法
KR20120106584A (ko) 액처리 장치, 액처리 방법 및 기억 매체
US9177843B2 (en) Preventing contamination in integrated circuit manufacturing lines
JP4384519B2 (ja) ウェーハ処理装置及び方法
JP2003174007A (ja) 基板の真空乾燥方法
TW201400202A (zh) 半導體清洗系統及方法
JP2001156034A (ja) シリコン基板(ないしウェーハ)・キャリア洗浄方法
JP2009188411A (ja) シリル化処理方法、シリル化処理装置およびエッチング処理システム
KR101530357B1 (ko) 설비 전방 단부 모듈
WO2001069659A3 (en) Fabrication of semiconductor materials and devices with controlled electrical conductivity
US20230182181A1 (en) System and Method for Cleaning Carrier
JPH07130699A (ja) 基板の表面処理装置
CN111627797B (zh) 一种提高半导体芯片键合可靠性的处理方法
JP2003115519A (ja) 半導体装置の製造方法、半導体製造装置、ロードロック室、基板収納ケース、ストッカ
JP2006019584A (ja) 基板処理装置
US20020124428A1 (en) Apparatus to reduce contaminants from semiconductor wafers
JP3102826B2 (ja) 基板処理装置
JP2020053675A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant